首页 | 官方网站   微博 | 高级检索  
     

H.264/AVC中CAVLC解码器IP核的设计
引用本文:艾明晶,张哲,邓媛.H.264/AVC中CAVLC解码器IP核的设计[J].计算机工程与应用,2007,43(20):109-112.
作者姓名:艾明晶  张哲  邓媛
作者单位:北京航空航天大学,计算机学院,北京,100083
摘    要:CAVLC(Context-Adaptive Variable Length Coding,基于上下文的变长变码)是H.264/AVC的熵解码模块,其性能优劣直接影响H.264/AVC解码器的性能。在现有的CAVLC解码器基础上,提出了一种基于FPGA的CAVLC解码器的体系结构,采用分散控制的策略,简化了设计,对CAVLC的部分解码模块作了改进,并设计了并行化寄存器组,适于后续快速反量化反变换模块的设计。通过在Altera公司的QuartusII5.0进行综合并在ModelSim6.1下进行时序仿真可知,该设计至少能够满足H.264标准BaseLine档次、级数(Level)3.0的要求。

关 键 词:H.264  变长解码  Context-Adaptive  Variable  Length  Coding(CAVLC)  解码器
文章编号:1002-8331(2007)20-0109-04
修稿时间:2006-11

Design of CAVLC decoder IP core in H.264/AVC standard
AI Ming-jing,ZHANG Zhe,DENG Yuan.Design of CAVLC decoder IP core in H.264/AVC standard[J].Computer Engineering and Applications,2007,43(20):109-112.
Authors:AI Ming-jing  ZHANG Zhe  DENG Yuan
Affiliation:School of Computer Engineering,Beihang University,Beijing 100083,China
Abstract:CAVLC is the entropy module of H.264/AVC,which can affect H.264/AVC decoder's performance directly.Based on existing CAVLC decoder,this paper proposes architecture of CAVLC decoder based on FPGA.This architecture uses the dispersive control strategy to simplify the design,improves some decoder module,and design parallel register array to support the following inverse quantization and inverse transform.After the synthesis in QuatrusII 5.0 of Altera company and timing simulation in ModelSim6.1,this design can fulfill the performance requirement of baseline profile,level 3.0 in H.264 standard.
Keywords:H  264  variable decoder  CAVLC  decoder
本文献已被 CNKI 维普 万方数据 等数据库收录!
点击此处可从《计算机工程与应用》浏览原始摘要信息
点击此处可从《计算机工程与应用》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司    京ICP备09084417号-23

京公网安备 11010802026262号