首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 796 毫秒
1.
A stack of hydrogenated amorphous silicon (a‐Si) and PECVD‐silicon oxide (SiOx) has been used as surface passivation layer for silicon wafer surfaces. Very good surface passivation could be reached leading to a surface recombination velocity (SRV) below 10 cm/s on 1 Ω cm p‐type Si wafers. By using the passivation layer system at a solar cell's rear side and applying the laser‐fired contacts (LFC) process, pointwise local rear contacts have been formed and an energy conversion efficiency of 21·7% has been obtained on p‐type FZ substrates (0·5 Ω cm). Simulations show that the effective rear SRV is in the range of 180 cm/s for the combination of metallised and passivated areas, 120 ± 30 cm/s were calculated for the passivated areas. Rear reflectivity is comparable to thermally grown silicon dioxide (SiO2). a‐Si rear passivation appears more stable under different bias light intensities compared to thermally grown SiO2. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

2.
Plasma enhanced chemical vapor deposited silicon nitride films have been used to passivate both the front and rear surface of simplified PERC silicon solar cells (planar surface, single‐step emitter). An independently confirmed open circuit voltage (Voc) of 667 mV was measured, proving the outstanding surface passivation provided by the silicon nitride films. The achieved Voc represents a significant improvement for all‐SiN passivated silicon solar cells. A conversion efficiency of 17˙8% was obtained. For comparison, similar cells with different passivation schemes, including high quality, thermally grown TCA oxides and thin SiO2/SiN double layers, were also investigated. Open circuit voltages up to 673 mV and conversion efficiencies up to 18˙3% were achieved. Copyright © 2000 John Wiley & Sons, Ltd.  相似文献   

3.
We have developed a crystalline silicon solar cell with amorphous silicon (a‐Si:H) rear‐surface passivation based on a simple process. The a‐Si:H layer is deposited at 225°C by plasma‐enhanced chemical vapor deposition. An aluminum grid is evaporated onto the a‐Si:H‐passivated rear. The base contacts are formed by COSIMA (contact formation to a‐Si:H passivated wafers by means of annealing) when subsequently depositing the front silicon nitride layer at 325°C. The a‐Si:H underneath the aluminum fingers dissolves completely within the aluminum and an ohmic contact to the base is formed. This contacting scheme results in a very low contact resistance of 3.5 ±0.2 mΩ cm2 on low‐resistivity (0.5 Ω cm) p‐type silicon, which is below that obtained for conventional Al/Si contacts. We achieve an independently confirmed energy conversion efficiency of 20.1% under one‐sun standard testing conditions for a 4 cm2 large cell. Measurements of the internal quantum efficiency show an improved rear surface passivation compared with reference cells with a silicon nitride rear passivation. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

4.
Neutron activation analysis has been used to study the type and level of contamination of silicon and oxidized silicon wafers exposed to various plasmas used in silicon device processing. Silicon wafers exposed to plasmas in a reactor previously used to remove SiN passivation layers from Au metallized wafers were found to be heavily contaminated with Au (up to ∼1014 atoms/cm2). Au contamination of oxidized silicon wafers similarly treated was two to three orders of magnitude smaller regardless of whether SiO2 etched faster or slower than Si in the plasmas used. Wet chemical cleaning of contaminated Si subsequent to plasma exposure was relatively ineffective in removing residual Au. This is interpreted as indicating indiffusion of Au during plasma exposure of Si. Exposure to a polymer forming plasma reduced the level of Au contamination of Si by nearly two orders of magnitude due to effective “sealing” of reactor surfaces by polymer film. Further, the level of contamination of Si was observed to decrease by over two orders of magnitude with usage time of the reactor during a 300-day time period when no Au containing materials were introduced into the reactor.  相似文献   

5.
Silicon nitride (a‐SiNx:H) films deposited by the expanding thermal plasma at high rate (> 1 nm/s) have been studied for application as anti‐reflection coatings for multicrystalline silicon (mc‐Si) solar cells. Internal quantum efficiency measurements have revealed that bulk passivation is achieved after a firing‐through process of the a‐SiNx:H as deposited from NH3/SiH4 and N2/SiH4 plasmas. However, the a‐SiNx:H films deposited from N2/SiH4 show a lower passivation quality than those deposited from NH3/SiH4. This has been attributed to a poorer thermal stability of the films deposited from the N2/SiH4 plasma, resulting in structural changes within the film during the firing step. Copyright © 2002 John Wiley & Sons, Ltd.  相似文献   

6.
In this work, we report on ion‐implanted, high‐efficiency n‐type silicon solar cells fabricated on large area pseudosquare Czochralski wafers. The sputtering of aluminum (Al) via physical vapor deposition (PVD) in combination with a laser‐patterned dielectric stack was used on the rear side to produce front junction cells with an implanted boron emitter and a phosphorus back surface field. Front and back surface passivation was achieved by thin thermally grown oxide during the implant anneal. Both front and back oxides were capped with SiNx, followed by screen‐printed metal grid formation on the front side. An ultraviolet laser was used to selectively ablate the SiO2/SiNx passivation stack on the back to form the pattern for metal–Si contact. The laser pulse energy had to be optimized to fully open the SiO2/SiNx passivation layers, without inducing appreciable damage or defects on the surface of the n+ back surface field layer. It was also found that a low temperature annealing for less than 3 min after PVD Al provided an excellent charge collecting contact on the back. In order to obtain high fill factor of ~80%, an in situ plasma etching in an inert ambient prior to PVD was found to be essential for etching the native oxide formed in the rear vias during the front contact firing. Finally, through optimization of the size and pitch of the rear point contacts, an efficiency of 20.7% was achieved for the large area n‐type passivated emitter, rear totally diffused cell. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   

7.
A thin SiOyNx film was inserted below a conventional SiNx antireflection coating used in c‐Si solar cells in order to improve the surface passivation and the solar cell's resistance to potential‐induced degradation (PID). The effect of varying the flow ratio of the N2O and SiH4 precursors and the deposition temperature for the SiOyNx thin film upon material properties were systematically investigated. An excellent surface passivation was obtained on FZ p‐type polished silicon wafers, with the best results obtained with a SiOyNx film deposited at a very low temperature of 130 °C and with an optical refractive index of 1.8. In the SiOyNx/SiNx stack structure, a SiOyNx film with ~6 nm thickness is sufficient to provide excellent surface passivation with an effective surface recombination velocity Seff < 2 cm/s. Furthermore, we applied the optimized SiOyNx/SiNx stack on multicrystalline Si solar cells as a surface passivation and antireflection coating, resulting in a 0.5% absolute average conversion efficiency gain compared with that of reference cells with conventional SiNx coating. Moreover, the cells with the SiOyNx/SiNx stack layers show a significant increase in their resistance to PID. Nearly zero degradation in shunt resistance was obtained after 24 h in a PID test, while a single SiNx‐coated silicon solar cell showed almost 50% degradation after 24 h. Copyright © 2016 John Wiley & Sons, Ltd.  相似文献   

8.
Silicon nitride (SiN) films fabricated by remote plasma‐enhanced chemical vapour deposition (RPECVD) have recently been shown to provide an excellent electronic passivation of silicon surfaces. This property, in combination with its large refractive index, makes RPECVD SiN an ideal candidate for a surface‐passivating antireflection coating on silicon solar cells. A major problem of these films, however, is the fact that the extinction coefficient increases with increasing refractive index. Hence, a careful optimisation of RPECVD SiN based antireflection coatings on silicon solar cells must consider the light absorption within the films. Optimal optical performance of silicon solar cells in air is obtained if the RPECVD SiN films are combined with a medium with a refractive index below 1·46, such as porous SiO2. In this study, the dispersion of the refractive indices and the extinction coefficients of RPECVD SiN, porous SiO2, and several other relevant materials (MgF2, TiOx, ZnS, B270 crown glass, soda lime glass, ethylene vinyl acetate and resin as used in commercial photovoltaic modules) are experimentally determined. Based on these data, the short‐circuit currents of planar silicon solar cells covered by RPECVD SiN and/or porous SiO2 single‐ and multi‐layer antireflection coatings are numerically maximised for glass‐encapsulated as well as non‐encapsulated operating conditions. The porous SiO2/RPECVD SiN‐based antireflection coatings optimised for these applications are shown to be universally suited for silicon solar cells, regardless of the internal blue or red response of the cells. Copyright © 1999 John Wiley & Sons, Ltd.  相似文献   

9.
Extremely low upper‐limit effective surface recombination velocities (Seff.max) of 5.6 and 7.4 cm/s, respectively, are obtained on ~1.5 Ω cm n‐type and p‐type silicon wafers, using silicon nitride (SiNx) films dynamically deposited in an industrial inline plasma‐enhanced chemical vapour deposition (PECVD) reactor. SiNx films with optimised antireflective properties in air provide an excellent Seff.max of 9.5 cm/s after high‐temperature (>800 °C) industrial firing. Such low Seff.max values were previously only attainable for SiNx films deposited statically in laboratory reactors or after optimised annealing; however, in our case, the SiNx films were dynamically deposited onto large‐area c‐Si wafers using a fully industrial reactor and provide excellent surface passivation results both in the as‐deposited condition and after industrial‐firing, which is a widely used process in the photovoltaic industry. Contactless corona‐voltage measurements reveal that these SiNx films contain a relatively high positive charge of (4–8) × 1012 cm−2 combined with a relatively low interface defect density of ~5 × 1011 eV−1 cm−2. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

10.
Atomic‐layer‐deposited aluminium oxide (Al2O3) is applied as rear‐surface‐passivating dielectric layer to passivated emitter and rear cell (PERC)‐type crystalline silicon (c‐Si) solar cells. The excellent passivation of low‐resistivity p‐type silicon by the negative‐charge‐dielectric Al2O3 is confirmed on the device level by an independently confirmed energy conversion efficiency of 20·6%. The best results are obtained for a stack consisting of a 30 nm Al2O3 film covered by a 200 nm plasma‐enhanced‐chemical‐vapour‐deposited silicon oxide (SiOx) layer, resulting in a rear surface recombination velocity (SRV) of 70 cm/s. Comparable results are obtained for a 130 nm single‐layer of Al2O3, resulting in a rear SRV of 90 cm/s. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

11.
We have studied the surface passivation of silicon by deposition of silicon nitride (SiN) in an industrial‐type inline plasma‐enhanced chemical vapor deposition (PECVD) reactor designed for the continuous coating of silicon solar cells with high throughput. An optimization study for the passivation of low‐resistivity p‐type silicon has been performed exploring the dependence of the film quality on key deposition parameters of the system. With the optimized films, excellent passivation properties have been obtained, both on undiffused p‐type silicon and on phosphorus‐diffused n+ emitters. Using a simple design, solar cells with conversion efficiencies above 20% have been fabricated to prove the efficacy of the inline PECVD SiN. The passivation properties of the films are on a par with those of high‐quality films prepared in small‐area laboratory PECVD reactors. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

12.
Thermal oxides are commonly used for the surface passivation of high‐efficiency silicon solar cells from mono‐ and multicrystalline silicon and have led to the highest conversion efficiencies reported so far. In order to improve the cost‐effectiveness of the oxidation process, a wet oxidation in steam ambience is applied and experimentally compared to a standard dry oxidation. The processes yield identical physical properties of the oxide. The front contact is created using a screen‐printing process of a hotmelt silver paste in combination with light‐induced silver plating. The contact formation on the front requires a short high‐temperature firing process, therefore the thermal stability of the rear surface passivation is very important. The surface recombination velocity of the fired oxide is experimentally determined to be below S ≤ 38 cm/s after annealing with a thin layer of evaporated aluminium on top. Monocrystalline solar cells are produced and 19·3% efficiency is obtained as best value on 4 cm2 cell area. Simulations show the potential of the developed process to approach 20% efficiency. Copyright © 2008 John Wiley & Sons, Ltd.  相似文献   

13.
The effect of hydrogen capping of SiN(Si-rich)/SiN(N-rich) stacks for n-type c-Si solar cells was investigated. Use of a passivation layer consisting of Si-rich SiN with a refractive index (n) of 2.7 and N-rich SiN with a refractive index of 2.1 improved the thermal stability. A single SiN passivation layer with a refractive index of 2.05 resulted in an initial lifetime of 200 μs whereas the layer with a refractive index of 2.7 resulted in a high initial lifetime of 2 ms, but the layer degraded rapidly after firing. A stacked passivation layer with refractive indices of 2.1 and 2.7 had a stable lifetime of 1.5 ms with an implied open-circuit voltage (iV oc) of 720 mV after firing. The thermally stable passivation mechanism with changing amounts of Si–N and Si–H bonding was analyzed by Fourier-transform infrared (FTIR) spectroscopy. Incorporation of the SiN x stack layer (2.7 + 2.1) into the passivated rear of n-type Cz silicon screen-printed solar cells resulted in energy conversion efficiency of 19.69%. Improved internal quantum efficiency in the long-wavelength range above 900 nm, with V oc of 630 mV, is mainly because of superior passivation of the rear surface compared with conventional solar cells.  相似文献   

14.
Growth of thermal oxide on silicon implanted with carbon at low energies is studied and electrical characteristics of the resulting SiO2-Si structures are evaluated. After excluding the effect of surface damage on the oxide growth kinetics, it was determined that for the carbon implant doses up to 1014 cm−2 the effect on oxide growth kinetics is limited. At higher carbon doses significant retardation of oxide growth was observed. A clear correlation between carbon dose and electrical characteristics of SiO2-Si structures has also been established. In the case of each parameter of concern in this study its degrActation with increased carbon dose above 1014cm−2, which corresponds to carbon concentration in silicon of the order of 1019 cm3, was observed. These effects may come to play during thermal oxidation of silicon wafers subjected prior to oxidation to the reactive ion etching in carbon containing gases such as CF4, CHF3, and others.  相似文献   

15.
Excellent passivation of boron emitters is realised using AlOx/SiNx dielectric stacks deposited in an industrial inline plasma‐enhanced chemical vapour deposition reactor. Very low emitter saturation current density (J0e) values of 10 and 45 fA/cm2 are obtained for 180 and 30 Ω/sq planar p+ emitters, respectively. For textured p+ emitters, the J0e was found to be 1.5–2 times higher compared with planar emitters. The required thermal activation of the AlOx films is performed in a standard industrial fast‐firing furnace, making the developed passivation stack industrially viable. We also show that an AlOx thickness of 5 nm in the AlOx/SiNx stack is sufficient for obtaining a J0e of 18 fA/cm2 for planar 80 Ω/sq p+ emitters, which corresponds to a 1‐sun open‐circuit voltage limit of the solar cell of 736 mV at 25 °C. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

16.
High‐quality surface and bulk passivation of crystalline silicon solar cells has been obtained under optimum anti‐reflection coating properties by silicon nitride (a‐SiNx:H) deposited at very high deposition rates of ∼5 nm/s. These a‐SiNx:H films were deposited using the expanding thermal plasma (ETP) technology under regular processing conditions in an inline industrial‐type reactor with a nominal throughput of 960 solar cells/hour. The low surface recombination velocities (50–70 cm/s) were obtained on p‐type silicon substrates (8·4 Ω cm resistivity) for as‐deposited and annealed films within the broad refractive index range of 1·9–2·4, which covers the optimum bulk passivation and anti‐reflection coating performance reached at a refractive index of ∼2·1. Copyright © 2005 John Wiley & Sons, Ltd.  相似文献   

17.
A stack of Al2O3/SiNx dual layer was applied for the back side surface passivation of p-type multi-crystalline silicon solar cells, with laser-opened line metal contacts, forming a local aluminum back surface field (local Al-BSF) structure. A slight amount of Al2O3, wrapping around to the front side of the wafer during the thermal atomic layer deposition process, was found to have a negative influence on cell performance. The different process flow was found to lead to a different cell performance, because of the Al2O3 wrapping around the front surface. The best cell performance, with an absolute efficiency gain of about 0.6% compared with the normal full Al-BSF structure solar cell, was achieved when the Al2O3 layer was deposited after the front surface of the wafer had been covered by a SiNx layer. We discuss the possible reasons for this phenomenon, and propose three explanations as the Ag paste, being hindered from firing through the front passivation layer, degraded the SiNx passivation effect and the Al2O3 induced an inversion effect on the front surface. Characterization methods like internal quantum efficiency and contact resistance scanning were used to assist our understanding of the underlying mechanisms.  相似文献   

18.
Emitter surface passivation by low temperature plasma enhanced chemical vapor deposition (PECVD) silicon nitride is investigated and optimized in this paper. We have found that the saturation current density of a 90±10 μ/sq phosphorus diffused emitter with Ns ≈3 x 1019 and Xj ≈0.3 μm can be lowered by a factor of eight by appropriate PECVD silicon nitride deposition and photoassisted anneal. PECVD silicon nitride deposition alone reduces the emitter saturation density (Joe) by about a factor of two to three, and a subsequent photoanneal at temperatures ≥350°C reduces Joe by another factor of three. In spite of the larger flat band shift for direct PECVD silicon nitride coating, the silicon nitride induced surface passivation is found to be about a factor of two inferior to the thermal oxide plus PECVD silicon nitride passivation due to higher interface state density at the SiN/SiO2 interface compared to SiO2/Si interface. A combination of statistical experimental design and neural network modeling is used to show quantitatively that lower radio frequency power, higher substrate temperature, and higher reactor pressure during the PECVD deposition can reduce the Joe of the silicon nitride coated emitter.  相似文献   

19.
Traditional POCl3 diffusion is performed in large diffusion furnaces heated to ~850 C and takes an hour long. This may be replaced by an implant and subsequent 90‐s rapid thermal annealing step (in a firing furnace) for the fabrication of p‐type passivated emitter rear contacted silicon solar cells. Implantation has long been deemed a technology too expensive for fabrication of silicon solar cells, but if coupled with innovative process flows as that which is mentioned in this paper, implantation has a fighting chance. An SiOx/SiNy rear side passivated p‐type wafer is implanted at the front with phosphorus. The implantation creates an inactive amorphous layer and a region of silicon full of interstitials and vacancies. The front side is then passivated using a plasma‐enhanced chemical vapor deposited SiNxHy. The wafer is placed in a firing furnace to achieve dopant activation. The hydrogen‐rich silicon nitride releases hydrogen that is diffused into the Si, the defect rich amorphous front side is immediately passivated by the readily available hydrogen; all the while, the amorphous silicon recrystallizes and dopants become electrically active. It is shown in this paper that the combination of this particular process flow leads to an efficient Si solar cell. Cell results on 160‐µm thick, 148.25‐cm2 Cz Si wafers with the use of the proposed traditional diffusion‐free process flow are up to 18.8% with a Voc of 638 mV, Jsc of 38.5 mA/cm2, and a fill factor of 76.6%. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

20.
The aim of this work is to getter unwanted impurities from solar grade crystalline silicon (Si) wafers and then to enhance their electronic properties. This was done by forming a sacrificial porous silicon (PS) layer on both sides of the Si wafers and by performing infrared (IR) thermal annealing treatments (at around 950 °C) in a SiCl4/N2 controlled atmosphere. The process allows concentrating unwanted impurities in the PS layer and near the PS/silicon interface. These treatments reduce the resistivity by about two orders of magnitude at a depth of about 40 μm and improve the minority carrier diffusion length from 75 to 210 μm. This gettering method was also tested on silicon wafers where grooved fingers and back contacts were achieved using a chemical vapor etching (CVE) method. Front buried metallic contacts and small holes for local back surface field were then achieved after the gettering stage in order to realize silicon solar cells. It was shown that the photovoltaic parameters of gettered silicon solar cells were improved as regard to ungettered ones.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号