首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 93 毫秒
1.
Stacked silicon nitride films for use in manufacturing of surface micromachined membranes were deposited using custom made plasma-enhanced chemical vapor deposition instrument with silane (SiH4) and ammonia (NH3) gas mixture as deposition precursor. Deposition conditions were adjusted by varying substrate temperature and SiH4 to NH3 flow ratio and temperature to obtain the required stress related and electrical properties of the membranes. Transmission Fourier transformed infrared spectroscopy and scanning electron microscopy were used to investigate the chemical composition and morphology of the stacked film components. An increase in the SiH4 to NH3 flow ratio and a decrease in temperature resulted in a silicon-rich silicon nitride film, as well as an increased silicon oxide concentration. To avoid underetch and sidewall defects, the plasma power density during the plasma etching was changed from 0.5 W/cm2 during the etching of both top and bottom layers in a stacked film, to 1.0 W/cm2 during the etching of the middle both silicon and silicon oxide rich film. This resulted in an improved overall stacked film sidewall quality and reduced the unwanted underetch.  相似文献   

2.
We present an overview of the properties of silicon oxynitride material (SiON) deposited by plasma enhanced chemical vapor deposition (PECVD) for photovoltaic applications. SiON films were deposited using silane (SiH4), ammonia (NH3) and nitrogen protoxide (N2O) as precursor gases in a low frequency PECVD. Varying the gas flow mixture leads to a whole range of SiON layers starting from the silicon oxide to the silicon nitride with unique stoichiometries and properties. Thanks to spectroscopic ellipsometry measurements we have confirmed the suitability of SiON for antireflection coating layers due to the range of the refractive indexes attainable. SiON structure was analyzed by X-ray photo-electron spectroscopy. We have thus highlighted the critical role of oxygen behavior on the SiON network and the progressive replacement of nitrogen by oxygen atoms when the oxygen precursor increases. The type of chemical bonds present in SiON layers was also investigated by infrared spectroscopy. The SiON layers also contain a non-negligible amount of hydrogen which might be useful for passivation applications. The behavior of hydrogen content was thus analyzed by elastic recoil decay analysis and desorption characterization. A typical rapid thermal annealing was performed on the SiON samples in order to simulate the solar cells contact annealing and to investigate its impact on the dielectric film properties. It was found that hydrogen becomes weakly bonded to the films and strongly decreases in quantity with the annealing. The surface passivation effect is presented in the last part of this paper. The trend before and after a rapid thermal annealing showed opposite results which could be explained by the high porosity of the layers and the formation of Si-O bonds.  相似文献   

3.
The n-type doped silicon thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) technique at high and low H2 dilutions. High H2 dilution resulted in n+ nanocrystalline silicon films (n+ nc-Si:H) with the lower resistivity (ρ ∼0.7 Ω cm) compared to that of doped amorphous silicon films (∼900 Ω cm) grown at low H2 dilution. The change of the lateral ρ of n+ nc-Si:H films was measured by reducing the film thickness via gradual reactive ion etching. The ρ values rise below a critical film thickness, indicating the presence of the disordered and less conductive incubation layer. The 45 nm thick n+ nc-Si:H films were deposited in the nc-Si:H thin film transistor (TFT) at different RF powers, and the optimum RF power for the lowest resistivity (∼92 Ω cm) and incubation layer was determined. On the other hand, several deposition parameters of PECVD grown amorphous silicon nitride (a-SiNx:H) thin films were changed to optimize low leakage current through the TFT gate dielectric. Increase in NH3/SiH4 gas flow ratio was found to improve the insulating property and to change the optical/structural characteristics of a-SiNx:H film. Having lowest leakage currents, two a-SiNx:H films with NH3/SiH4 ratios of ∼19 and ∼28 were used as a gate dielectric in nc-Si:H TFTs. The TFT deposited with the NH3/SiH4∼19 ratio showed higher device performance than the TFT containing a-SiNx:H with the NH3/SiH4∼28 ratio. This was correlated with the N−H/Si−H bond concentration ratio optimized for the TFT application.  相似文献   

4.
Capacitors with two kinds of lower electrodes were fabricated and their effects evaluated on the electrical characteristics of oxide–nitride–oxide (ONO) film. One of the electrodes was made of amorphous silicon film chemically deposited using a gas mixture of Si2H6–PH3; the other was made of poly-Si film deposited by SiH4 decomposition and doped by As+ ion implantation. The ONO thin dielectric layer was composed of natural oxide, CVD silicon nitride and thermal oxide formed on the silicon nitride. The capacitance, the leakage current, the dielectric breakdown field and the time-dependent dielectric breakdown (TDDB) were tested to evaluate the electrical properties of the capacitors. The leakage current and the dielectric breakdown voltage showed similar values between the two capacitors, whereas the TDDB under negative bias showed a great difference. This indicates that, with respect to electrical properties, the integrity of the oxide grown on the in situ P-doped amorphous silicon is better than the oxide grown on the As+ ion-implanted poly-Si. What is more, phosphorus in the amorphous silicon did not lead to any problems with junction depth, even after post heat treatment at 950°C. This revised version was published online in July 2006 with corrections to the Cover Date.  相似文献   

5.
Deposition conditions and some structural and electrical properties of amorphous silicon nitride (SixNy:H) films deposited on Si substrates have been studied for photovoltaic applications. A plasma enhanced chemical vapor deposition (PECVD) system has been used for the study. Experiments have been performed varying the flow ratios and dilution of the reactant gases. Increased hydrogen (H2) dilution leads to reduced deposition rate and a better controllability in the growth process. The hydrogen content in the film also decreases with increasing H2 dilution of the reactant gases. Flow ratio of the reactant gases (SiH4/NH3) also influences the growth rate. There is an optimal reactant gas mix to maximize the film growth rate. However, the film stoichiometry is also modified by changing the gas mix, with higher flow ratios resulting in Si-rich films. The level of interfacial recombination of minority carriers has been studied by capacitance-voltage and effective lifetime measurements. Bombardment by the energetic species in the plasma leads to plasma damage at the interface. These interfacial defects can be annealed by a post-deposition, low temperature treatment.  相似文献   

6.
A series of hydrogenated amorphous silicon films have been deposited using plasma enhanced chemical vapour deposition (PECVD) and hot-wire chemical vapour deposition (HWCVD) techniques. The total concentration of bonded hydrogen in the films was varied between 3% and 18% as determined by hydrogen effusion measurements. Fourier transform infra-red (FTIR) spectra of the PECVD and HWCVD samples exhibit strong absorption peaks that correspond to Si–H bend and stretch modes, and Si–H2 stretch modes. A quantitative fit of the FTIR peak areas to the hydrogen effusion concentrations reveals that there is reasonable agreement between the required proportionality constants in PECVD and HWCVD material for the Si–H bend and stretch modes. The uncertainty error for the FTIR proportionality constants is consistently greater for the HWCVD data set, however, which may indicate that the effective dynamical charge of the Si–H dipoles is perturbed in the HWCVD material by bonded impurities that are sourced from the tungsten wire.  相似文献   

7.
Hydrogenated silicon nitride (a-SiNx:H) films were deposited at temperatures ranging from 50 to 300 °C with remote plasma enhanced chemical vapor deposition (RPECVD) from NH}_{3 and SiH}_{4. The effect of the operating variables, such as deposition temperature and especially the partial pressure ratio of reactant (R=NH3/SiH4) on the properties of the Sa-SiNx:H interface was investigated. The H* radical was dominantly observed and the deposition rate was proportional to the NH* radical concentration. The density of highly energetic N 2 * radicals increased in the high plasma power regime in which the film surface was roughened, but they promote surface reactions even at low temperature. The refractive index was more closely related to the film stoichiometry than film density. The interface trap density is related to the amount of silicon intermediate species and Si–NH bonds at the Si/SiNx:H interface and it can be minimized by reducing the intermediate Si species and Si–NH bonding state. The films showed a midgap interface trap density of 2 × 1011 - 2 × 1012cm-2. © 2001 Kluwer Academic Publishers  相似文献   

8.
In this study, we have improved electrical characteristics such as the efficiency (η) and the fill factor (FF) of finished multicrystalline silicon (mc-Si) solar cells by using a new chemical treatment with a hot phosphoric (H3PO4) acidic solution. These mc-Si solar cells were made by a standard industrial process with screen-printed contacts and a silicon nitride (SiN) antireflection coating. We have deposited SiN thin layer (80 nm) on p-type mc-Si substrate by the mean of plasma enhanced chemical vapour deposition (PECVD) technique. The reactive gases used as precursors inside PECVD chamber are a mixture of silane (SiH4) and ammonia (NH3) at a temperature of 380°C. The developed H3PO4 chemical surface treatment has improved η from 5·4 to 7·7% and FF from 50·4 to 70·8%, this means a relative increase of up to 40% from the initial values of η and FF. In order to explain these improvements, physical (AFM, EDX), chemical (FTIR) and optical (spectrophotometer) analyses were done.  相似文献   

9.
Hafnium oxide films have been deposited at 250 °C on silicon and germanium substrates by atomic layer deposition (ALD), using tetrakis-ethylmethylamino hafnium (TEMAH) and water vapour as precursors in a modified Oxford Instruments PECVD system. Self-limiting monolayer growth has been verified, characterised by a growth rate of 0.082 nm/cycle. Layer uniformity is approximately within ±1% of the mean value. MOS capacitors have been fabricated by evaporating aluminium electrodes. CV analysis has been used to determine the bulk and interface properties of the HfO2, and their dependence on pre-clean schedule, deposition conditions and post-deposition annealing. The dielectric constant of the HfO2 is typically 18. On silicon, best results are obtained when the HfO2 is deposited on a chemically oxidised hydrophilic surface. On germanium, best results are obtained when the substrate is nitrided before HfO2 deposition, using an in-situ nitrogen plasma treatment.  相似文献   

10.
T. Bieniek  R.B. Beck 《Vacuum》2008,82(10):1029-1033
In mixed logic/memory circuits manufactured as a system on chip, two different thicknesses of dielectric layers are required. Simultaneous formation of both layers is possible if oxidation of a silicon layer is preceded by local nitrogen implantation, since the rate of oxidation depends on the nitrogen implantation dose and its profile.Experiments presented in this work show a possibility and an attempt of practical realization of controlling a very thin dielectric layer's thickness by preceding the oxidation with an ultra-shallow nitrogen implantation from r.f. plasma.As opposed to the methods presented in the literature so far, where classical implanters or the ion immersion implantation plasma (IIIP) technique were used for ultra-shallow implantation, our process is performed in a typical PECVD planar plasma reactor.The r.f. plasma nitrogen implantation has been carried out from NH3 plasma and then immediately followed by the thermal- or plasma-oxidation process.The electrophysical properties of the obtained layers and systems (ultrathin dielectric layer, silicon) were characterized by electrical methods. Results of ellipsometric, XPS and ULE-SIMS measurements are also presented and discussed.  相似文献   

11.
Ultra-fine silicon nitride powder was synthesized from the SiCl4-NH3-H2-Ar system using a d.c. plasma torch reactor (production rate 150–400 g h−1). The powder produced is pure white, fluffy and amorphous. The particles are spheroidal in shape with a mean diameter between 30–60 nm forming aggregates of 0.1–0.4 μm depending on the operational conditions. Chemical analysis on the crude powder handled at ambient atmosphere revealed: N(−NH4Cl):37–39%, O:3–5% and Cl:2–3%. The amorphous powder can be crystallized around 1500 °C under nitrogen to give an α-phase content in excess of 90%. Infrared spectra can be used to semi-quantitatively determine the NH4Cl content of the crude powder. That proportion is between 2.5 and 4%. The influence of some process parameters e.g. (N/Si and H2/N molar ratios, internal pressure) on powder properties was also investigated. The N/Si molar ratio was found to be the most important parameter for the powder composition whereas the internal pressure plays a major role on the powder morphology.  相似文献   

12.
In this work, amorphous silicon oxynitride films were deposited on silicon substrates by plasma-enhanced chemical vapor deposition (PECVD). The main purpose was to use silicon oxynitride film as a single-layer anti-reflection coating for Si-based optoelectronic devices. The chemical information was measured by infrared spectroscopy. Surface and cross-section morphology was determined by a scanning electron microscope. Spectroscopic ellipsometry (SE) was applied to measure the refractive index, extinction coefficient and thickness. The results of SE presented the refractive indices varied in the range of 1.83-1.92 by altering SiH4/NH3 ratio. One-side polished silicon substrate coated with silicon oxynitride film exhibited low reflectance, and two-side polished silicon substrate coated with silicon oxynitride film exhibited high transmittance. The results suggested that silicon oxynitride film was a very attractive single-layer anti-reflection coating.  相似文献   

13.
Two series of amorphous silicon nitride layers (a-SiNx:H) were formed with Radio Frequency Chemical Vapor Deposition method (13.56 MHz) from a NH3/SiH4 gas mixture: the first one on Si (001) and the second on glass. The deposition process was repeated at various [NH3]/[SiH4] ratios, while the other parameters (pressure, plasma generator power, substrate temperature, total gas flow, and time) were kept constant. It has been confirmed in optical measurements that the refractive indexes decrease for the layers obtained at increasing [NH3]/[SiH4] ratios. Simultaneously, the position of the band assigned to Si-H stretching vibrations (at about 2100 cm− 1) shifts towards higher frequencies. The observed dependencies were applied in evaluation of nitrogen and hydrogen contents in the respective layers. It has been shown that when [NH3]/[SiH4] increases from 0 (no silane flow) to 0.2 then the a-SiNx:H layers of x = [N]/[Si] increasing between 0 and nearly 1.4 may be obtained. The obtained layers have the refractive indexes higher than 2.1 and lower than 2.7 which make them good materials for antireflective coatings on crystalline and multicrystalline silicon solar cells.  相似文献   

14.
Jinsu Yoo 《Thin solid films》2007,515(19):7611-7614
Hydrogenated films of silicon nitride (SiNx:H) is commonly used as an antireflection coating as well as passivation layer in crystalline silicon solar cell. SiNx:H films deposited at different conditions in Plasma Enhanced Chemical Vapor Deposition (PECVD) reactor were investigated by varying annealing condition in infrared (IR) heated belt furnace to find the optimized condition for the application in silicon solar cells. By varying the gases ratio (R = NH3/SiH4 + NH3) during deposition, the SiNx:H films of refractive indices 1.85-2.45 were obtained. Despite the poor deposition rate, the silicon wafer with SiNx:H film deposited at 450 °C showed the best effective minority carrier lifetime. The film deposited with the gases ratio of 0.57 shows the best peak of carrier lifetime at the annealing temperature of 800 °C. The single crystalline silicon solar cells fabricated in conventional industrial production line applying the optimized film deposition and annealing conditions on large area substrates (125 mm × 125 mm) were found to have the conversion efficiencies as high as 17.05 %. Low cost and high efficiency single crystalline silicon solar cells fabrication sequence employed in this study has also been reported in this paper.  相似文献   

15.
Hydrogenated thin silicon nitride (SiNx:H) films were deposited by high frequency plasma enhanced chemical vapor deposition techniques at various NH3 and SiH4 gas flow ratios [R = NH3/(SiH4 + NH3)], where the flow rate of NH3 was varied by keeping the constant flow (150 sccm) of SiH4. The deposition rate of the films was found to be 7.1, 7.3, 9 and 11 Å/s for the variation of R as 0.5, 0.67, 0.75 and 0.83, respectively. The films were optically and compositionally characterized by reflectance, photoluminescence, infrared absorption and X-ray photoelectron spectroscopy. The films were amorphous in nature and the refractive indices of the films were varied between 2.46 and 1.90 by changing the gas flow ratio during the deposition. The PL peak energy was increased and the linear band tails become broad with the increase in R. The incorporation of nitrogen takes place with the increase in R.  相似文献   

16.
Al/ScOx/SiNx/n-Si and Al/ScOx/SiOx/n-Si metal-insulator-semiconductor capacitors have been electrically characterized. Scandium oxide was grown by high-pressure sputtering on different substrates to study the dielectric/insulator interface quality. The substrates were silicon nitride and native silicon oxide. The use of a silicon nitride interfacial layer between the silicon substrate and the scandium oxide layer improves interface quality, as interfacial state density and defect density inside the insulator are decreased.  相似文献   

17.
Nanostructured materials fabricated by dispersing metal particles on the dielectric surface have potential application in the field of nanotechnology. Interfacial metal particles/dielectric matrix interaction is important in manipulating the structural and optical properties of metal/dielectric films. In this work, a thin layer of gold (Au) was sputtered onto the surface of silicon oxide, SiOx (0.38 < x < 0.68) films which was deposited at different N2O/SiH4 flow rate ratios of 5 to 40 using plasma enhanced chemical vapor deposition (PECVD) technique prior to the annealing process at 800 °C. FTIR spectra demonstrate the intensity and full-width at half-maximum (FWHM) of Si-O-Si stretching peaks are significantly dependent on the N2O/SiH4 flow-rate ratio, η. The films deposited at low and high N2O/SiH4 flow rate ratios are dominated by the oxygen and silicon contents respectively. The size and concentration of Au particles distributed on the surface of SiOx films are dependent on the N2O/SiH4 flow-rate ratio. High concentrations of Au nanoparticles are distributed evenly on the surface of the film deposited at N2O/SiH4 flow-rate ratio of 30. Crystallinity and crystallite sizes of Au are enhanced after the thermal annealing process. Appearance of surface plasma resonance (SPR) absorption peaks at 524 nm for all samples are observed as a result of the formation of Au particles. The annealing process has improved SPR peaks for all the as-deposited films. The energy gap of the as-deposited Au/SiOx films are in the range of 3.58 to 4.38 eV. This energy gap increases after the thermal annealing process except for the film deposited at η = 5.  相似文献   

18.
In this work capacitance-voltage measurements of three different dielectric layers, thermal silicon oxide, plasma enhanced chemical vapor deposited (PECVD) silicon oxide, and PECVD silicon nitride, on p-type silicon have been performed in order to obtain characteristics as the energy distribution of the interface trap density and the density of fixed charges. Spatially resolved capacitance-voltage, ellipsometry and lifetime measurements revealed the homogeneity of layer and passivation properties and their interrelation. Additionally lifetime measurements were used to evaluate x-radiation induced defects emerged during electron beam evaporation for sample metallization.  相似文献   

19.
D.H. Yoon  S.G. Yoon 《Thin solid films》2007,515(12):5004-5007
Silicon nitride (SiNX) thin films were deposited by means of an RF plasma enhanced chemical vapor deposition (PECVD) reactor using SiH4 and N2 gases. The refractive index of the SiN thin films increased from 1.5652 to 2.7621 as the SiH4/N2 flow ratio was increased from 0.16 to 1.66, since the amount of Si in the film increased, while that of N decreased, as the SiH4/N2 flow ratio was increased. The core shape became circular after annealing at 1200 °C. This change is related to a decrease in the viscosity with increasing annealing temperature. This decreased viscosity causes condensation of the core layer due to surface tension, which leads to the change in shape from rectangular to circular. The thickness, refractive index and shape of the films were characterized by ellipsometry, scanning electron microscopy (SEM), and X-ray photoelectron spectroscopy (XPS).  相似文献   

20.
S.W. Kim  D.L. Choi 《Materials Letters》2010,64(18):1975-1977
Nanocrystalline silicon was successfully fabricated using conventional plasma enhanced chemical vapor deposition (PECVD) for bottom gate thin film transistor. This was accomplished by promoting nucleation rate in the initial stage of silicon growth by H2 or SF6 plasma treatment of the surface of silicon nitride (SiNx) films. Microstructure of hydrogenated nanocrystalline silicon (nc-Si:H) films confirmed the crystallization of silicon, and nanocrystalline silicon thin film transistor exhibited excellent stability.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号