首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 343 毫秒
1.
We report results on the thermal stability of the Schottky barrier formed by each of two amorphous metal alloys (from the NiNb and TaIr systems) on Si and GaAs. We have found the barrier height to be stable to within 0.05 eV after treatment for 2.5 hr at 500°C in the case of TaIr/n/n+ GaAs, and within 0.06 eV after treatment for 40 hr at 350°C in the case of TaIr/n/n+ Si.  相似文献   

2.
The property of Ta as a diffusion barrier is studied for Al/Ta/Si structure. Interfacial reactions of Al(180 nm)/Ta(130 nm)/Si and Al(180 nm)/Ta(24 nm)/Si, in the temperature range 450∼600°C for 30 min, have been investigated. In Al/Ta(130 nm)/Si system, which is Ta-excess case, Al3Ta is formed at 500°C. At 575°C, TaSi2 is formed at the interface of Ta Si. At 600°C, after Al3Ta decomposes at the interface of Al3Ta TaSi2, free Ta is bonded to TaSi2 with the supply of Si from Si substrate and free Al diffuses through TaSi2, resulting in Al spiking. In Al/Ta(24 nm)/Si system, which is Al-excess case, Al3Ta is formed at 500°C. At the same temperature of 500°C, after Al3Ta decomposes at the interface of Al3Ta/Si, free Ta reacts with Si to form TaSi2 and free Al diffuses to Si substrate, resulting in Al spiking. The results of interfacial reactions can be understood from the calculated Al-Si-Ta ternary phase diagram. It can be concluded that the reaction at Al/Ta should be suppressed to improve the performance of Ta diffusion barrier in Al/Si system.  相似文献   

3.
The compatibility of Al on plasma-deposited W and WSi2 films in an Al sintering process is studied. The compatibility is investigated by measuring the variation of the barrier heights of Al/W/Si and Al/WSi2/Si Schottky-barrier contact that have been annealed at 400 to 600°C for 30 min. Rutherford backscattering and X-ray diffraction are used to examine the diffusion of Al and the interface constitution of these annealed contacts, respectively. The experimental results show that the barrier heights are almost constant, and no binary or ternary intermetallic compounds are found under 500°C annealing. Thus the plasma-deposited W and WSi2 thin films are metallurgically compatible with Al layers in VLSI fabrication  相似文献   

4.
We have investigated the electrical properties and interfacial reactions of the Si/Ti-based ohmic contacts to Si-doped n-GaN grown by metal organic chemical vapor deposition and the electrical properties were related to the material reactions. Si/Ti contact system was selected because Ti silicides have a low work function comparable to Al and also Si was used widely as an n-type dopant. As the annealing temperature increased, the specific contact resistance of Si/Ti-based ohmic contacts decreased and showed minimum contact decreased and showed minimum contact resistance as low as 3.86 10?6 cm2 after annealing at 900°C for 3 min under N2 ambient. Our experimental results show that the ohmic behavior of Si/Ti-based contact, were attributed to the low barrier height of Ti-silicide/GaN interface, which was formed through the interfacial reaction between Si and Ti layers. In order to clarify the current conduction mechanism of Si/Ti-based contact, temperature dependent contact resistance measurement was carried out for Au(1000 Å)/Ti(400 Å)/Si(1500 Å)/Ti(150 Å) contact system after annealing at 700°C for 3 min. The contact resistance of Si/Ti-based ohmic contact decreased exponentially with the measuring temperature and so it can be concluded that current flows over the low barrier height by thermionic emission.  相似文献   

5.
Backscattering spectrometry with 2.3 and 2.0 MeV 4He+ have been used to study the role of Cr as a barrier in the interaction of Pd2Si with Al. Samples of palladium silicide (Pd2Si) grown on Si 〈100〉 single crystal and Al evaporated on top, in that order, showed a substantial intermixture of Pd2Si and Al, and a non-uniform erosion of the Pd2SiSi interface when heated between 300 and 450°C. With a thin layer of Cr(300–1500 Å) interposed between Pd and Al intermixing of Pd2Si and Al was suppressed for temperatures up to 500°C and times up to 2 hr. In these samples distinct sublayers of Pd2Si, CrSi2 and CrAlx (where the values of x depends on the relative thicknesses of Al and Cr) are formed. We have noted that whenever there is a thin unreached Cr layer the spectra of the distinct sublayers show sharp boundaries.  相似文献   

6.
A new design concept for diffusion barriers in high‐density memory capacitors is suggested, and both RuTiN (RTN) and RuTiO (RTO) films are proposed as sacrificial oxygen diffusion barriers. The newly developed RTN and RTO barriers show a much lower sheet resistance than various other barriers, including binary and ternary nitrides (reported by others), up to 800 °C, without a large increase in the resistance. For both the Pt/RTN/TiSix/n++poly‐plug/n+ channel layer/Si and the Pt/RTO/RTN/TiSix/n++poly‐plug/n+ channel layer/Si contact structures, contact resistance—the most important electrical parameter for the diffusion barrier in the bottom electrode structure of capacitors—was found to be as low as 5 kohm, even after annealing up to 750 °C. When the RTN film was inserted as a glue layer between the bottom Pt electrode layer and the TiN barrier film in the chemical vapor deposited (Ba,Sr)TiO3 (CVD–BST) simple stack‐type structure, the RTN glue layer was observed to be thermally stable to temperatures 150 °C higher than that to which the TiN glue layer is stable. Moreover, the capacitance of the physical vapor deposited (PVD)–BST simple stack‐type structure adopted TiN glue layer initially degraded after annealing at 500 °C, and, thereafter, completely failed. In the case of the RTN and RTO/RTN glue layers, however, the capacitance continuously increased up to 550 °C. Thus, the new RTN and RTO films, which act as diffusion barriers to oxygen, are very promising materials for achieving high‐density capacitors.  相似文献   

7.
Characterization of sputtered tantalum carbon nitride (Ta-C-N) film in Cu/barrier/Si system was reported for the first time. With a 50∶50 wt.% TaC target and an optimum N2/Ar flow rate (in sccm) ratio of 2/24, a 600 Å-thick sputtered Ta-C-N layer was shown metallurgically stable up to 650°C annealing for 30 min, which is about 100°C higher as compared to the case without nitrogen doping. Cu diffusion through the local defects or grain boundaries of the Ta-C-N barrier layer into Si substrate is the dominant factor responsible for the failure of the Ta-C-N barrier layer after high temperature annealing.  相似文献   

8.
The thermal stability of the Cu/Cr/Ge/Pd/n+-GaAs contact structure was evaluated. In this structure, a thin 40 nm layer of chromium was deposited as a diffusion barrier to block copper diffusion into GaAs. After thermal annealing at 350°C, the specific contact resistance of the copper-based ohmic contact Cu/Cr/Ge/Pd was measured to be (5.1 ± 0.6) × 10−7 Ω cm2. Diffusion behaviors of these films at different annealing temperatures were characterized by metal sheet resistance, X-ray diffraction data, Auger electron spectroscopy, and transmission electron microscopy. The Cu/Cr/Ge/Pd contact structure was very stable after 350°C annealing. However, after 400°C annealing, the reaction of copper with the underlying layers started to occur and formed Cu3Ga, Cu3As, Cu9Ga4, and Ge3Cu phases due to interfacial instability and copper diffusion.  相似文献   

9.
The effect of annealing treatment up to 500°C on CrSi contacts was studied from physico-chemical and electrical view points. The solid-solid reactions between a 1000 Å thick Cr layer and a 〈111〉N single crystal of silicon, were studied by the He+ ion backscattering method, X-ray diffraction and transmission electron microscopy. We first observed a growth in the Cr grains and then the nucleation and growth of the disilicide CrSi2. For annealing temperatures greater than 415°C, the growth is linear in time with an activation energy of 1.5±0.1 eV and for lower temperatures it becomes superlinear. The growth mechanism is discussed in terms of growth limiting phenomena. The variations of the electrical parameters (ideality factor n and barrier height 0Bn) as a function of 15 min anneals between 300 and 500°C were correlated to the physico chemical observations. We establish, firstly, an optimal temperature annealing range in order to obtain good Schottky CrSi diodes and, secondly, a low limit of Cr thickness which must be deposited to obtain acceptable Schottky CrSi2  Si diodes after annealing.  相似文献   

10.
We have optimized the base electrode for InGaAs/InP based double heterojunction bipolar transistors with a buried emitter-base junction. For the buried emitter-base structure, the base metal is diffused through a thin graded quaternary region, which is doped lightly n-type, to make ohmic contact to the p+InGaAs base region. The metal diffusion depth must be controlled, or contact will also be made to the collector region. Several metal schemes were evaluated. An alloy of Pd/Pt/Au was the best choice for the base metal, since it had the lowest contact resistance and a sufficient diffusion depth after annealing. The Pd diffusion depth was easily controlled by limiting the thickness to 50?, and using ample Pt, at least 350?, as a barrier metal to the top layer of Au. Devices with a 500? base region show no degradation in dc characteristics after operation at an emitter current density of 90 kA/cm2 and a collector bias, VCE, of 2V at room temperature for over 500 h. Typical common emitter current gain was 120. An ft of 95 GHz and fmax, of 131 GHz were achieved for 2×4 μm2 emitter size devices.  相似文献   

11.
The barrier properties and failure mechanism of sputtered Hf, HfN and multilayered HfN/HfN thin films were studied for the application as a Cu diffusion barrier in metallization schemes. The barrier capability and thermal stability of Hf, HfN and HfN/HfN films were determined using X-ray diffraction (XRD), leakage current density, sheet resistance (Rs) and cross-sectional transmission electron microscopy (XTEM). The thin multi-amorphous-like HfN thin film (10 nm) possesses the best barrier capability than Hf (50 nm) and amorphous-like HfN (50 nm). Nitrogen incorporated Hf films possess better barrier performance than sputtered Hf films. The Cu/Hf/n+–p junction diodes with the Hf barrier of 50 nm thickness were able to sustain a 30-min thermal annealing at temperature up to 500 °C. Copper silicide forms after annealing. The Hf barrier fails due to the reaction of Cu and the Hf barrier, in which Cu atoms penetrate into the Si substrate after annealing at high temperature. The thermal stabilities of Cu/Hf/n+–p junction diodes are enhanced by nitrogen incorporation. Nitrogen incorporated Hf (HfN, 50 nm) diffusion barriers retained the integrity of junction diodes up to 550 °C with lower leakage current densities. Multilayered amorphous-like HfN (10 nm) barriers also retained the integrity of junction diodes up to 550 °C even if the thickness is thin. No copper–hafnium and copper silicide compounds are found. Nitrogen incorporated hafnium diffusion barrier can suppress the formation of copper–hafnium compounds and copper penetration, and thus improve the thermal stability of barrier layer. Diffusion resistance of nitrogen-incorporated Hf barrier is more effective. In all characterization techniques, nitrogen in the film, inducing the microstructure variation appears to play an important role in thermal stability and resistance against Cu diffusion. Amorphousization effects of nitrogen variation are believed to be capable of lengthening grain structures to alleviate Cu diffusion effectively. In addition, a thin multilayered amorphous-like HfN film not only has lengthening grain structures to alleviate Cu diffusion, but block and discontinue fast diffusion paths as well. Hence, a thin multilayered amorphous-like HfN/HfN barrier shows the excellent barrier property to suppress the formation of high resistance η′-(Cu,Si) compound phase to 700 °C.  相似文献   

12.
Al,Al/C and Al/Si implantations in 6H-SiC   总被引:1,自引:0,他引:1  
Multiple-energy Al implantations were performed with and without C or Si coimplantations into 6H-SiC epitaxial layers and bulk substrates at 850°C. The C and Si co-implantations were used as an attempt to improve Al acceptor activation in SiC. The implanted material was annealed at 1500, 1600, and 1650°C for 45 min. The Al implants are thermally stable at all annealing temperatures and Rutherford backscattering via channeling spectra indicated good lattice quality in the annealed Al-implanted material. A net hole concentration of 8 × 1018 cm−3 was measured at room temperature in the layers implanted with Al and annealed at 1600°C. The C or Si co-implantations did not yield improvement in Al acceptor activation. The co-implants resulted in a relatively poor crystal quality due to more lattice damage compared to Al implantation alone. The out-diffusion of Al at the surface is more for 5Si co-implantation compared to Al implant alone, where 5Si means a Si/Al dose ratio of 5.  相似文献   

13.
A reliable contact diffusion barrier has been successfully formed by sintering in nitrogen a physically sputtered W/Ti bilayer. After a 650°C furnace anneal, a TiNx/TiSiy layer on contact with the silicon substrate was formed beneath the overlying W. No reaction between N2 and W was observed. Arsenic implanted in the silicon substrate tended to retard the silicidation of titanium. Substantial redistribution of both B and As across the silicide layer was also observed during the contact sintering process. The 1.0-μ contacts fabricated with the Al/W/TiNx/TiSiy/Si barrier technology exhibited low and tightly distributed contact resistivities (less than 10-6 Ω-cm2). No excessive leakage of the shallow junctions was observed even after thermally stressing the sample at 400°C for 8 h  相似文献   

14.
A low temperature method of fabricating conductive (3.5 Ω/ sq.) p+/n junction diodes possessing excellentI-Vcharacteristics with reverse-bias leakage less than -3 nA.cm-2at -5 V is described. Single crystal n-type 〈100〉 Si is implanted with 60 keV11B+through 0.028-µm thick sputtered Ti film. Rapid thermal annealing (RTA) in an N2ambient simultaneously forms a 0.36-µm deep p+/n junction and a 0.063-µm thick bilayer of TiN and TiSi2with a resistivity of 22 µΩ.cm. The electrical properties of these diodes are not degraded by annealing for 30 min at 500°C, suggesting that the outer layer of TiN is an effective diffusion barrier between TiSi2and Al.  相似文献   

15.
In this work, an electroless CoWP film deposited on a silicon substrate as a diffusion barrier for electroless Cu and silicon has been studied. Four different Cu 120 nm/CoWP/Si stacked samples with 30, 60, 75, and 100 nm electroless CoWP films were prepared and annealed in a rapid thermal annealing (RTA) furnace at 300°C to 800°C for 5 min. The failure behavior of the electroless CoWP film in the Cu/CoWP/Si sample and the effect of CoWP film thickness on the diffusion barrier properties have been investigated by transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray diffraction (XRD), and sheet resistance measurements. The composition of the electroless CoWP films was 89.4 at.% Co, 2.4 at.% W, and 8.2 at.% P, as determined by energy dispersive X-ray spectrometer (EDS). A 30 nm electroless CoWP film can prevent copper penetration up to 500°C, and a 75 nm electroless CoWP film can survive at least up to 600°C. Therefore, increasing the thickness of electroless CoWP films effectively increases the failure temperature of the Cu/CoWP/Si samples. The observations of SEM and TEM show that interdiffusion of the copper and cobalt causes the failure of the electroless CoWP diffusion barriers in Cu/CoWP/Si during thermal annealing.  相似文献   

16.
Lu  Y.  Xiao  Y. X.  Dai  T.  Wang  C. P.  Yang  S. Y.  Liu  X. J. 《Journal of Electronic Materials》2020,49(7):4231-4236

The V-Ta, V-Ta-N and V-Ta/V-Ta-N alloy barrier layers with thickness of 50 nm were deposited on Si (100) substrates by magnetron sputtering and then the 300 nm thick Cu films were prepared on the barrier layers to obtain Cu/V-Ta/Si, Cu/V-Ta-N/Si and Cu/V-Ta/V-Ta-N/Si multilayer films. The multilayer film samples were subsequently annealed at 300°C–750°C temperatures for 1 h in vacuum atmosphere. The crystal structure, surface morphology and sheet resistance were characterized by grazing incidence x-ray diffraction (GXRD), electron probe microanalysis (EPMA), scanning electron microscopy (SEM) and four-point probe (FPP) analysis to investigate the diffusion barrier behavior of the V-Ta, V-Ta-N and V-Ta/V-Ta-N alloy barrier layers. The results show that the V-Ta, V-Ta-N and V-Ta/V-Ta-N barrier layers effectively blocked the diffusion of Cu into the Si substrate. When annealed at 700°C, the Cu/V-Ta/Si and Cu/V-Ta/V-Ta-N/Si thin film samples maintained good thermal stability and demonstrated low sheet resistance (~?0.3 Ω cm). Therefore, both the V-Ta and V-Ta/V-Ta-N thin films are promising candidates for use as diffusion barrier layers.

  相似文献   

17.
A study of Pt ohmic contacts with Si interlayers on p-type SiC (7.0×1018 cm−3) was performed as a function of the Si interlayer thickness, deposition temperature, and dopant incorporation. All contacts were ohmic after annealing at 1100°C for 5 min in vacuum. The use of a Si layer was found to decrease the specific contact resistance (SCR) relative to Pt contacts that did not contain Si, regardless of the deposition conditions used in this study. The SCR values were reduced further by three independent effects: the deposition of the Si layer at 500°C, the incorporation of B in the layer, and the design of the Pt:Si layer thicknesses in a 1:1 atomic ratio. By combining all of these effects, the lowest average SCR values (2.89×10−4 Ω cm2) were obtained. After annealing for 5 min at 1100°C, x-ray diffraction of the contacts with the 1:1 Pt:Si ratio showed a single phase of PtSi. Analyses by cross-sectional transmission electron microscopy revealed no reaction of the films with the SiC substrate. The electrical characteristics of these contacts were stable after annealing at 400°C and 600°C for 96 h and 60 h, respectively. These results are in contrast to those observed for pure Pt contacts and for contacts containing a higher Pt:Si ratio.  相似文献   

18.
A new MOS technology is developed for submicrometer MOS devices. In this new technology, TiSi2is formed on the source and drain diffused layers by self-aligned silicidation to reduce the sheet resistance, and TiN is formed in the contact holes by self-aligned nitridation of TiSi2. This TiN can be used as an effective barrier metal between Al and Si. TiSi2is prepared by a two-step annealing method to prevent a reaction between Ti and the field oxide. PSG cap annealing after TiSi2formation provides excellent p-n junction characteristics and relatively low silicide sheet resistance of 4 ω/□ even after annealing at 950°C for 30 min. TiN is formed by direct thermal nitridation of TiSi2in N2ambient at a temperature higher than 900°C after contact hole formation. The formation of TiN is confirmed by AES, ESCA, and X-ray diffraction analysis. The TiN formed by direct thermal nitridation is found to prevent Al diffusion into the Si substrate even for post-metallization annealing at 500°C for 1 h. The characteristics of devices fabricated by this new technology also are determined.  相似文献   

19.
The ohmic contact formation mechanism and the role of Pt layer of Au(500Å) Pt(500Å)/Pd(100Å) ohmic contact to p-ZnTe were investigated. The specific contact resistance of Au/Pt/Pd contact depended strongly on the annealing temperature. As the annealing temperature increased, the specific contact resistance decreased and reached a minimum value of 6×10?6 Θcm2 at 200°C. From the Hall measurement, the hole concentration increased with the annealing temperature and reached a maximum value of 2.3×1019 cm?3 at 300°C. The Schottky barrier height decreased with the increase of annealing temperature and reached a minimum value of 0.34 eV at 200°C and it was due to the interfacial reaction of Pd and ZnTe. Therefore, the decrease of contact resistance was due to the increase of doping concentration as well as the decrease of Schottky barrier height by the interfacial reaction of Pd ZnTe. The specific contact resistances of Au Pd, Au/Pt/Pd and Au/Mo/Pd as a function of annealing time was investigated to clarify the role of Pt layer.  相似文献   

20.
Epitaxial n-Si layers doped with phosphorus or erbium have been grown by sublimation molecularbeam epitaxy at 500°C on heavily boron-doped p +-type substrates with resistivity ρ = 0.005 Ω cm. Distribution profiles of the B, Er, and O impurity concentrations in the samples were determined by secondary-ion mass spectrometry. A thermal annealing of the substrate in vacuum at 1300°C for 10 min and growth at a very low substrate temperature made it possible to obtain an extremely abrupt profile for doping impurities at the layer-substrate interface. This method for growth of n-p + junctions considerably improves their electrical and luminescent characteristics.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号