首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Degradation due to hot-carrier injection and the recovery due to annealing in air have been investigated in long channel nMOSFETs, where the passivation of the dangling bonds at the Si/SiO2 interface in the post metal anneal step is done with hydrogen or deuterium. The devices with deuterium passivation exhibit less degradation than the devices with hydrogen due to the well-known isotope effect. However, the recovery of hot-carrier induced degradation by thermal annealing in air is found to be independent of the isotope. An Arrhenius activation energy (Ea) of around 0.18 eV for threshold voltage (VT) recovery for both types of devices was calculated, indicating that the recovery mechanism may be the same.  相似文献   

2.
A brief review is given of the evidence supporting the “hydrogen model” of interface trap generation in silicon-based MOS structures. Emphasis is placed on the importance of electron spin resonance (ESR) in identifying and quantifying certain crucial defect species, including atomic hydrogen, self-trapped holes, and the interface trap itself — theP b center. Three types of experiments are considered: (1) low-temperature irradiation and isochronal anneals, (2) pulse radiolysis at room temperature, and (3) exposure of previously-irradiated devices to hydrogen gas. These disparate types of data are all reasonably accounted for by a unified model involving the production of H+ and/or H0 species in the oxide which subsequently drift to the interface where they react with hydrogen-passivated dangling bonds to formP b centers.  相似文献   

3.
Interface defects related to negative-bias temperature instability (NBTI) in an ultrathin plasma-nitrided SiON/Si1 0 0 system were characterized by using conductance–frequency measurements, electron-spin resonance measurements, and synchrotron radiation X-ray photoelectron spectroscopy. It was confirmed that NBTI is reduced by using D2-annealing instead of the usual H2-annealing. Interfacial Si dangling bonds (Pb1 and Pb0 centers) were detected in a sample subjected to negative-bias temperature stress (NBTS). Although we suggest that NBTS also generates non-Pb defects, it does not seem to generate nitrogen dangling bonds. These results show that NBTI of the plasma-nitrided SiON/Si system is predominantly due to Pb depassivation. Plasma nitridation was also found to increase the Pb1/Pb0 density ratio, modify the Pb1 defect structure, and increase the latent interface trap density by generating Si suboxides at the interface. These changes are likely to be the causes of NBTI in ultrathin plasma-nitrided SiON/Si systems.  相似文献   

4.
Electron paramagnetic resonance studies show that defects are activated in oxidized 3C-SiC and 6H-SiC by heat-treatments at temperatures greater than 800°C in dry (<1 ppm H2O) N2 or O2 ambients. Annealing in forming gas (7% H2, 93% N2) at 700°C completely passivates the centers induced by the dry heat-treatment. By contrasting the generation and annealing kinetics for these centers with the well studied Si dangling bond, we suggest that the centers in the oxidized SiC are C dangling bonds created by hydrogen effusion during the dry heat-treatment.  相似文献   

5.
The effects of gamma irradiation on as-deposited, oxygen-annealed, and dual-dielectric gate (undoped polysilicon/oxide) low-pressure chemical-vapor-deposited (LPCVD) silicon dioxide (SiO2) metal-oxide-silicon (MOS) structures were investigated. As-deposited LPCVD SiO2 MOS structures exhibit the largest shift in flatband voltage with gamma irradiation. This is most likely due to the large number of bulk oxide traps resulting from the nonstochiometric nature of as-deposited LPCVD SiO2. Dual-dielectric (undoped polysilicon/annealed LPCVD SiO2) MOS structures exhibit the smallest shift in flatband voltage and increase in interface state density compared to as-deposited and oxygen-annealed LPCVD SiO2 MOS structures. The interface state density of dual-dielectric MOS structures increases from 5 × 1010 eV cm−2 to 2–3 × 1011 eV cm−2 after irradiation to a gamma total dose level of 1 Mrads(Si). This result suggests that the recombination of atomic hydrogen atoms with silicon dangling bonds, either along grain boundaries or in crystallites of the undoped polysilicon layer in dual-dielectric (undoped polysilicon/annealed LPCVD SiO2) MOS structures, probably reduces the number of atomic hydrogen atoms reaching the Si/SiO2 interface to generate interface states.  相似文献   

6.
Negative bias temperature instabilities (NBTI) in SiOx(N)/HfSiO(N)/TaN based pMOSFETs are investigated. It is shown that nitrogen-incorporation in the gate stack (either by NH3 anneals or decoupled plasma nitridation, DPN) result in much enhanced NBTI. Device degradation is mainly due to fast (interface) state generation in the non-nitrided stacks, while a substantial contribution of the defects produced in the nitrided stacks are slow (bulk) states. The kinetics of fast interface states is modeled within a reaction-dispersive transport model, taking into account the dispersive transport of protons generated from the depassivation of trivalent Si dangling bonds at the Si/SiOx interace (Pb0 centers). The generation of slow states in the nitrided stacks is simulated by an electrochemical model, considering the electric field and hole assisted breaking of nitrogen-related defects, tentatively attributed to Si2N or Hf2N dangling bonds. A correlation between NBTI and recovery is also found, namely that enhanced NBTI in nitrided stacks results in enhanced recovery. This suggests that recovery mainly arises from the detrapping of holes at the N-related defects.  相似文献   

7.
For a surface-channel n-MOSFET and a buried-channel p-MOSFET, the effect of plasma process-induced damage on bias temperature instability (BTI) was investigated. The gate oxide thickness, tox, of the test MOSFETs was 2.0, 3.0, or 4.5 nm. The shifts of threshold voltage Vth and of linear drain current Idlin were measured after applying a BTI stress at a temperature of 125 °C. The measured shifts of Vth and Idlin indicate that BTI on ultra-thin gate CMOS devices appears only in the form of SiO2/Si interface degradation, and that the positive BTI for the n-MOSFET as well as the negative BTI for the p-MOSFET is important for the reliability evaluation of CMOS devices. Because of positive plasma charging to the gate, a protection diode was very efficient at reducing BTI for the p-MOSFET, but it was much less effective for the n-MOSFET.  相似文献   

8.
Electrical properties of silicon-on-insulator (SOI) structures with buried SiO2 layer implanted with nitrogen ions are studied in relation to the dose and energy of N+ ions. It is shown that implantation of nitrogen ions with doses >3 × 1015 cm−2 and an energy of 40 keV brings about a decrease in the fixed positive charge in the oxide and a decrease in the density of surface stares by a factor of 2. An enhancement of the effect can be attained by lowering the energy of nitrogen ions. The obtained results are accounted for by interaction of nitrogen atoms with excess silicon atoms near the Si/SiO2 interface; by removal of Si-Si bonds, which are traps of positive charges; and by saturation of dangling bonds at the bonding interface of the SOI structure.  相似文献   

9.
We report first-principles calculations of the structure and electronic properties of several different silicon–hafnia interfaces. The structures have been obtained by growing HfOx layers of different stoichiometry on Si(1 0 0) and by repeated annealing of the system using molecular dynamics. The interfaces are characterised via their electronic and geometric properties. Moreover, electronic transport through the interfaces has been calculated using finite-element-based Green's function methods. We find that oxygen always diffuses towards the interface to form a silicon dioxide layer. This results in the formation of dangling Hf bonds in the oxide, saturated by either Hf diffusion or formation of Hf–Si bonds. The generally poor performance of the interfaces suggests that it is important to stabilise the system with respect to oxygen lattice diffusion.  相似文献   

10.
Admittance (ac) measurements were carried out to determine the interface trap density (Dit) as a function of energy E in the Si bandgap at interfaces of Si with different insulating oxides (Al2O3, ZrO2, HfO2). The results are compared to those of the conventional thermal SiO2/Si interface. The results show that a significant portion of the interface trap density in the as-deposited and de-hydrogenated samples is related to the amphoteric Si dangling bond defects (Pb0 -centers). The Dit is much enhanced for the Al-containing insulators as compared to Si/SiO2 but can be reduced by annealing in O2. As to annealing in H2, efficient passivation of Pb0 centers by hydrogen is achieved for Si/ZrO2 and Si/HfO2 interfaces, yet it fails for Si/Al-containing oxide entities. Among the insulators studied, the results suggest HfO2 to be the best choice of an alternative insulator.  相似文献   

11.
Si MOSFETs were irradiated with x-rays and then exposed to various partial pressures of H2 at either room temperature or 125 °C. The number of interface traps and the net positive oxide trapped charged were measured during the hydrogen exposure using spectroscopic charge pumping techniques. During the hydrogen exposure the gate electrode was held at a positive bias to maintain a field of 0.65 MV/cm across the gate oxide. It was found that during the room temperature hydrogen exposure the number of interface traps increased by a factor of about two. The change in the oxide trapped charge during hydrogen exposure indicated that the decrease in the number of positively charged oxide traps was approximately the same as the increase in the number of interface traps. The time evolution and bias dependence of these changes are explained by a model that we previously proposed. In this model positively charged radiation induced defects in the oxide crack the H2 to form H+. Under positive gate bias the H+ then drifts to the Si-SiO2 interface where it forms an interface state, while at the same time removing positive charge from the oxide.  相似文献   

12.
Number densities of Si and O atoms for 3.5–6.5-nm-thick, silicon-oxide films, grown using a recently proposed in-situ passivation method that uses a little pyrolytic N2O gas, were determined by Rutherford backscattering spectrometry (RBS). It was found that excess Si atoms relative to the stoichiometric SiO2 composition exist near the silicon oxide/Si(100) interface, and their number decreases with decreasing humidity. The decrease is remarkable for the pyrolytic-gas passivation (PGP)-grown films at a humidity of less than 1 ppb, which contrasts largely with the humidity dependence of other characteristics, such as density, device reliability, etc., and a remarkable increase can also be confirmed at the same low humidity. Therefore, it is believed that all of the humidity dependence probably has a common origin: PGP results in a reduction of the excess Si atoms near the interface as well as dehydration and causes a decrease in Si dangling bonds by making stronger N-related bonds.  相似文献   

13.
Light-induced metastability was examined in hydrogenated amorphous silicon thin films using a 500 W xenon lamp and a 10 mW HeCd laser. Positron beam annihilation spectroscopy (PAS) and fourier transform infrared spectroscopy (FTIR) were examined to investigate the effects of light on the structural properties of the films. The experimental results exhibited significant decrease in the S-parameter of the PAS, indicating marked reduction in the defect density of the films. The FTIR spectroscopy showed significant reduction in the transmission coefficient of IR radiation at frequencies corresponding to Si–H and Si–H3 phonon modes, indicating that the observed annealing effects were due to light-induced formation of Si–H and Si–H3 bonds. A second thermal annealing process conducted after the light exposure experiment resulted in a further substantial decrease in defect density for the sample exposed to HeCd laser. The experimental results are explained by a competing, light induced, dangling bond creation/annealing process, in which the incoming photons caused the annealing of dangling bonds, particularly those at around the voids. However, in the bulk region, the photons caused both the breaking of weak Si–Si bonds as well as the annealing of dangling bonds.  相似文献   

14.
The DCIV method was applied to investigate negative bias temperature instability (NBTI) in SiO2 gate oxides. The DCIV technique, which measures the interface defect density independently from bulk oxide charges, delineates the contribution of the interface defect generation to the overall NBTI measured by the threshold voltage shift, ΔVTH. The DCIV results obtained during both stress and relaxation phases are generally consistent with the main features of the reaction–diffusion (R–D) model, which suggests positive charge generation/annealing at the Si/SiO2 interface due to breaking/re-passivation of the Si–H bonds. These results are in agreement with the spin-dependent recombination (SDR) experiments, which reflect the density of the Si dangling bonds at the Si/SiO2 interface (Pb centers) and its vicinity (E′ centers). Comparison of degradation kinetics as measured by DCIV, charge-pumping, and ID − VGVTH) techniques, however, suggests that ΔVTH includes additional contributions, most likely from the oxide bulk charges. For comparison, an NBTI study was also performed on the high-k HfO2/SiO2 gate stacks. After adjusting for the high-k related contribution, similar kinetics of the long-term stress interface trap generation was observed in SiO2 and high-k gate stacks suggesting a common mechanism of the interface degradation.  相似文献   

15.
We fabricated a high-k Er-silicate gate dielectric using interfacial reaction between Er and SiO2 films and investigated its thermal stability. The reduced capacitance with increasing annealing temperature is associated with the chemical bonding change of Er-silicate from Er-rich to Si-rich, induced by a reaction between Er-silicate and Si during thermal treatment. Further an increase in the annealing temperature (>500 °C) causes the formation of Si dangling bonds, which is responsible for an increased interface trap density.  相似文献   

16.
Si/SiO2 multilayers have been successfully prepared by magnetron sputtering and subsequently thermal annealed in an Ar atmosphere at a temperature of more than 500 °C. The surface of the as-deposited films is compact and smooth, and the distribution of grain size estimated to be 20 nm is uniform. For Si/SiO2 multilayers annealed at 1100 °C, the Si sublayer sandwiched between potential barrier SiO2 is crystalline structure by means of the analysis of Raman spectra and XRD data. The visible PL peak accompanying to a blue-shift with the decrease of Si sublayer thickness has been observed, and the intensity of this peak enhances with the increase of annealing temperature. The visible luminescence properties of Si/SiO2 multilayers can be ascribed to quantum confinement of electron-hole pairs in quantum wells with grain size lower than 4.5 nm. In Si/SiO2 multilayers, not only quantum confinement but also Si-SiO2 interface states play an important role in the optical transition. The PL peak located at 779 nm is independent of the thickness of Si sublayer, so it may be ascribed to interface mediated transition. Typical Si dangling bonds defect could be a dominating obstacle to high luminescence efficiencies.  相似文献   

17.
朱梦华  余山  陈勇  潘晶  毛海央 《微电子学》2019,49(4):568-573
研究了钝化层退火工艺引入的氢对P-Flash存储器耐久性的影响,建立了这一影响的物理模型,阐明了耐久性退化的机理。在四种不同测试条件下,对P-Flash存储器进行了编程/擦除的耐久性测试。测试结果表明,在高温且延时的条件下,器件的耐久性最差。耐久性与编程/擦除之间的延时相关,延时越长,耐久性衰减越严重。在器件编程后的延时过程中,SiO2/Si界面处被氢原子钝化的硅悬挂键发生断裂。氢原子的不稳定性导致更多的界面陷阱电荷和氧化层电荷的产生,使得阈值电压负向偏移,造成负偏压温度的不稳定。通过优化BEOL工艺,可有效改善P-Flash存储器的耐久性。  相似文献   

18.
The effect of the introduction of hydrogen upon the vibration spectra and electrical characteristics of samples with dislocation networks at the interface of bonded silicon wafers was studied. In order to improve the sensitivity of measurements and to distinguish the signal from dislocation networks in Raman spectra, thin foils conventionally prepared for transmission electron microscopy were used as the sample under investigation. In the samples with dislocation networks, a Raman peak at 2000 cm–1 was observed. This peak survived after annealing at a temperature of T = 500°C and was not observed in reference samples. Comparison of the experimental data with currently available theoretical calculations allowed one to attribute the observed peak to neutral hydrogen atoms H0 at the center of Si–Si bonds. The peak is metastable in the ideal lattice, but becomes stable in the vicinity of dislocations.  相似文献   

19.
Hydrogen migration in a SiO2/Si system is examined in detail by nuclear reaction analysis. Electrical reliability measurements reveal a correlation between hydrogen migration from the cathode interface to the SiO2/Si interface and dynamic degradation of the gate dielectric. In addition, the defect levels generated in the bulk of SiO2 have an energy distribution corresponding to that of oxygen vacancies, as revealed by comparing the measured and simulated stress-induced leakage current. Finally, a model of hydrogen-induced gate dielectric degradation is proposed based on first-principles calculations.  相似文献   

20.
It is proved by a series of experiments that the double peaks observed in the conductance-voltage plot of an Al-SiO2-Si capacitor can be related to the effect of the dangling bonds in the SiO2-Si interface and the missing oxygen atoms in the oxide. Further, it is shown that one of the peaks can be nearly eliminated by a low-temperature hydrogen anneal. Finally, for resolving the double peaks, the importance of using a 10 kHz test signal is illustrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号