首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 468 毫秒
1.
Particle deposition on wafer surfaces in solutions can be described by the well-documented principles of colloid science. Particle concentration, solution pH, and ionic strength in solutions are all important factors which determine the number of particles which deposit on wafer surfaces immersed in liquids. maximum particle deposition is observed in high ionic strength acidic solutions and is reduced as solution pH increase. Particle removal efficiencies in various solutions were also investigated; NH4OH-H2O2-H 2O solutions were optimized in NH4OH content around the ratio of 0.05:1.15 (0.05 part NH4OH, 1 part H2O2, 5 parts H2O). Wafer damage as measured by surface micro-roughness was not increased during NH4 OH-H2O2-H2O treatment using this ratio  相似文献   

2.
Particle-free wafer cleaning and drying technology   总被引:1,自引:0,他引:1  
It is reported that an NH4OH-H2O2 solution is excellent for removing particulate contaminants from VLSI silicon wafers after chemical solution treatment. The ratio of NH4 OH in the solution can be reduced down to 1/10 of the standard ratio while keeping high removal efficiency. By decreasing the NH4 OH content, wafer damage which appears as a so-called haze during the NH4OH-H2O2 treatment is reduced. To establish a particle-free wafer drying system, a particle-generation-free isopropanol (IPA) vapor drying system has been developed. By eliminating all possible particle generation sources from the drying system, ultraclean wafer drying equipment has been realized. A number of parameters to be controlled have been thoroughly investigated. Three were found to seriously influence surface cleanliness after drying: the water content in the IPA, temperature distribution around the wafers, and the IPA vapor velocity. The optimum drying conditions in which high quality of wafer surface cleanliness can be realized were confirmed experimentally  相似文献   

3.
Novel cleaning solutions were developed for post-CMP process, surfactant tetra methyl ammonium hydroxide (TMAH) and/or chelating agent ethylene diamine tetra acetic acid (EDTA) were added into the diluted ammonium hydroxide (NH4OH+H2O) alkaline aqueous solution to enhance removal of metallic and organic contamination. From the experimental result, it is found that the particle and metal removal efficiency and the electrical characteristics are significantly improved for post-CMP cleaning  相似文献   

4.
When contaminated silicon wafers are immersed in an ultra-pure cleaning solution of an NH4OH/H2O2/H 2O mixture known as the RCA Standard Clean 1 (SC-1), in which the impurity concentration is negligibly low, the level of wafer-surface metallic contamination after the cleaning treatment depends on the amount of metallic impurities brought into the solution by the to-be-cleaned wafers themselves. Even if the chemicals are disposed of after each wafer cleaning, the surface metallic contamination is still dominated by the amount of impurities brought into the fresh solution by the wafers themselves. In the past, purer chemicals have been sought to improve metal removal efficiency, but after reasonably purer chemicals are obtained the efficiency is not governed by the initial chemical purity but by the initial wafer cleanliness. Because of this, scrubbing of dirty wafers-both the backand front-surfaces-before immersion-type wet cleaning is recommended. However, to meet future stricter wafer cleanliness requirements, new cleaning methods in which fresh chemicals are continuously supplied, such as single-wafer spin cleaning, will have to be employed  相似文献   

5.
The effect of the Si-SiO2 interface microroughness on the electron channel mobility of n-MOSFETs was investigated. The surface microroughness was controlled by changing the mixing ratio of NH4 OH in the NH4OH-H2O2-H2O solution in the RCA cleaning procedure. The gate oxide was etched, following the evaluation of the electrical characteristics of MOS transistors, to measure the microroughness of the Si-SiO2 interface with scanning tunneling microscopy (STM). As the interface microroughness increases, the electron channel mobility, which can be obtained from the current-voltage characteristics of the MOSFET, gets lower. The channel mobility is around 360 cm2/V-s when the average interface microroughness is 0.2 nm, where the substrate impurity concentration is 4.5×1017 cm-3, i.e. the electron bulk mobility is 400 cm2/V-s. It goes down to 100 cm2/V-s when the interface microroughness exceeds 1 nm  相似文献   

6.
Shrinking die sizes and increasing I/O density is motivating the push toward flip chip packages. A flip chip interconnection system with a under bump metallurgy stack containing sputtered TiWNX/sputtered Cu/electroplated Cu stud/electroplated 95%Pb-5%Sn was developed. An important step in the above process is the selective etching of the sputtered Cu bus layer and the TiWNX barrier layer, in the presence of the Pb-Sn solder. The Cu bus layer was selectively etched using commercial etchants. However, no commercial etchants were available for selectively etching the TiWNX layer, H2O2-NH4OH based etching systems, popularly known as Standard Clean-1 cleaning solutions, have been extensively used to clean silicon wafers in front end wafer fabrication where only trace metal contamination exists. Since metals like lead, copper, titanium, tin and tungsten catalyze the heterogeneous decomposition of the peroxide, the unstable H2O2-NH4OH based etching systems are rarely used to etch metal films. In this paper the development of a H 2O2-NH4OH based etchant to selectively etch the sputtered TiWNX films in the presence of electroplated 95%Pb-5%Sn solder bumps is discussed. A 23 full factorial experiment with mid point was conducted to establish the etchant composition, as well as process temperature, that give satisfactory responses with respect to etch time, permissable undercut of the Cu stud (caused by the NH4OH), and acceptable bump shape after reflow. Statistical analysis was used to understand the significant factors influencing the etch rate and undercut. An etchant containing 6% by volume of 30%-H2O2 and 0.75% by volume of 30%-NH4OH operated at a temperature of 37°C was found to give satisfactory results  相似文献   

7.
Dependence of thin-oxide films quality on surface microroughness   总被引:1,自引:0,他引:1  
The effects of silicon surface microroughness on electrical properties of thin-oxide films, such as breakdown electric field intensity (EBD) and time-dependent dielectric breakdown (QBD), have been studied, where the microroughnesses of silicon and silicon dioxide surfaces are evaluated by the scanning tunneling microscope (STM) and the atomic force microscope (AFM), respectively. An increase of surface microroughness has been confirmed to severely degrade the EBD and QBD characteristics of thin-oxide films with thicknesses of 8-10 nm and to simultaneously decrease channel electron mobility. An increase of surface microroughness has been demonstrated to originate mainly from wet chemical cleaning processing based on the RCA cleaning concept, particularly the ammonium-hydrogen-peroxide cleaning step. In order to keep the surface microroughness at an initial level, the content ratio of NH4OH/H2O2/H2 O solution has been set at 0.05:1:5 and the room-temperature DI water rinsing has been introduced right after the NH4OH/H2O2/H2O cleaning step in conventional RCA cleaning procedure  相似文献   

8.
Ammonia and hydrogen peroxide mixtures (APM) are widely used for removing particles from substrate surfaces in semiconductor manufacturing. In the next-generation manufacturing, more precise control of the APM cleaning performance is required than what is available today. In this study, a new method for evaluating the APM cleaning performance, such as the etching rate, surface microroughness, and particle removal efficiency, was introduced based on a chemical equilibrium analysis of APM and a kinetic reaction model of a Si substrate with APM. It became clear that the etching reaction of a Si substrate proceeds along two paths (path-1, path-2) in APM. In path-1, the Si surface is oxidized by HO2- and then the SiO2 layer is etched by OH-. In path-2, the Si surface is directly etched by OH-. Path-1 is favorable for APM cleaning because path-2 causes some problems, such as too fast etching, an increase in surface microroughness, and a decrease in particle removal efficiency. Using the contribution ratio of each path to total etching reaction, we can predict the etching rate and determine optimum APM cleaning conditions (NH3 concentration, H2 O2 concentration, temperature). This method makes it possible to improve the APM cleaning performance and to decrease chemical consumption  相似文献   

9.
对多结化合物太阳电池用的p型Ge抛光片的清洗技术做了研究.Ge抛光片的清洗可以采用酸性清洗液和碱性清洗液相结合的方式.酸性清洗液的主要作用是去除晶片表面的有机物;碱性清洗液的主要作用是去除晶片表面的颗粒.清洗液的温度和组分影响着抛光片的清洗效果.通过实验结果确定了p型Ge抛光片的清洗方案,采用这一清洗方案清洗的Ge抛光片,表面质量可以达到"开盒即用"的水平.运用晶片清洗机理分析了各种清洗液的功能和作用.  相似文献   

10.
Fracture origins of single-mode optical fibers that were produced by the CIP hybridized process consisting of overcladding a VAD-derived core rod with silica glass made from commercial silica powder were studied. It was found that there were many inclusions that caused failure of the fiber at the screening test. To identify the inclusions, the EPMA technique was successfully used and new internal defects such as a Cr, a Cr-Fe, and a Zr inclusions were found. By investigating the manufacturing process precisely, stainless steel particles and Cr2 O3 particles in the silica powder were found to become origins of the fractures. Further, the removal of Cr2O3 particles by the reaction with chlorine gas at high temperature was studied, and its dependence on the reaction temperature and on the chlorine content was clarified. It was also suggested that the initial particle size of a Cr2O3 particle was an important factor for its elimination. The results obtained by this study should be used to remove both the Cr and the Cr-Fe inclusions  相似文献   

11.
The epitaxial lift‐off (ELO) technique can be used to separate a III–V solar cell structure from its underlying GaAs or Ge substrate. ELO from 4‐inch Ge wafers is shown and 2‐inch GaAs wafer reuse after lift‐off is demonstrated without degradation in performance of the subsequent thin‐film GaAs solar cells that were retrieved from it. Since a basic wet chemical smoothing etch procedure appeared insufficient to remove all the surface contamination, wafer re‐preparation is done by a chemo‐mechanical polishing procedure. Copyright © 2010 John Wiley & Sons, Ltd.  相似文献   

12.
本文针对抛光后晶片的颗粒和有机污染物提出了一种新型清洗方法,它结合了非离子表面活性剂和掺硼金刚石膜(BDD)阳极电化学氧化的优势。非离子表面活性剂可以在抛光后晶片上形成一层保护膜,使晶片表面颗粒易于去除。颗粒去除对比实验结果通过金相显微镜观察得知,体积比为1%的非离子表面活性剂的颗粒去除效果最佳。然而表面活性剂保护膜本身属于有机物,它最终也需要被去除。金刚石膜阳极电化学氧化(BDD-EO)可以用来去除有机物,因为它可以有效降解有机物。三个有机污染物去除对比实验分别为:一是先用非离子表面活性剂再用BDD-EO,二是单纯用BDD-EO去除有机物,第三种是用传统RCA清洗技术。通过XPS检测结果表明,用BDD-EO清洗的晶片表面的有机残留明显少于传统RCA技术,并且晶片表面的非离子表面活性剂也可以有效去除。  相似文献   

13.
This paper presents a new cleaning process for particle and organic contaminants on polished silicon wafer surfaces.It combines a non-ionic surfactant with boron-doped diamond(BDD) film anode electrochemical oxidation. The non-ionic surfactant is used to remove particles on the polished wafer's surface,because it can form a protective film on the surface,which makes particles easy to remove.The effects of particle removal comparative experiments were observed by metallographic microscopy,which showed tha...  相似文献   

14.
The authors report on a highly reliable stacked storage capacitor with ultrahigh capacitance using rapid-thermal-annealed low-pressure chemical vapor deposited (LPCVD) Ta2O5 films (~100 Å) deposited on NH3-nitrided rugged poly-Si electrodes. Capacitances as high as 20.4 fF/μ2 (corresponding to the thinnest tox.eff (16.9 Å) ever reported using LPCVD-Ta2O5 and poly-Si technologies) have been achieved with excellent leakage current and time-dependent dielectric breakdown (TDDB) characteristics. Extensive electrical characterization over a wide temperature range (~25-300°C) shows that Ta2O 5 films on rugged poly-Si electrodes have a better temperature stability in dielectric leakage and breakdown compared to the films on smooth poly-Si electrodes  相似文献   

15.
王永光  赵永武 《半导体学报》2007,28(12):2018-2022
基于芯片/磨粒/抛光垫的微观接触力平衡关系,建立了考虑抛光垫/磨粒大变形和粘着力效应的微观接触模型,模型预测结果表明:对于Cu和SiO2芯片而言,粘着力对磨粒所受外力具有重要影响作用;考虑粘着力的情况下,单个磨粒压入芯片的深度比未考虑粘着效应时,最大为原来的2倍和4倍。然而,即使考虑粘着效应时,磨粒压入芯片的深度仍然在分子量级。因此,认为CMP材料的去除机理为单分子层去除机理,为深入研究CMP材料原子/分子去除机理提供了一定的理论指导。  相似文献   

16.
Excellent uniformity in the threshold voltage, transconductance, and current-gain cutoff frequency of InAlAs/InGaAs/InP MODFETs has been achieved using a selective wet gate recess process. An etch rate ratio of 25 was achieved for InGaAs over InAlAs using a 1:1 citric acid:H2O2 solution. By using this solution for gate recessing, the authors have achieved a threshold voltage standard deviation of 15 mV and a transconductance standard deviation of 15 mS/mm for devices across a quarter of a 2-in-diameter wafer. The average threshold voltage, transconductance, and current-gain cutoff frequency of 1.0-μm gate-length devices were -234 mV, 355 mS/mm, and 32 GHz, respectively  相似文献   

17.
The effect of contamination, especially particles and organic materials in cleanrooms, on future device manufacturing was estimated. The number of particles deposited on wafer surfaces was calculated based on particle size distribution in real cleanrooms and the reported data on particle deposition velocity. DRAM yield trend was then calculated taking only particles from the cleanroom environment into account. Killer particle size is assumed to be one-third of the feature size according to the SIA roadmap. In the Gigabit era, a class 0.1-0.01 level environment will be necessary even with a shortened TAT (turn around time). The lower limit of particle density in conventional cleanroom air was estimated to be class 0.1-1 Level by particle generation by people, suggesting the use of a mini-environment fab system to produce high-grade cleanliness. A mini-environment system is also preferable for eliminating other contaminants such as organic compounds. However, box material and additions must be reexamined from the viewpoint of organic contamination control because some organic materials generated from wafer box easily adsorb on silicon surfaces and change the surface conditions  相似文献   

18.
Many researchers studying copper chemical mechanical planarization (CMP) have been focused on mechanisms of copper removal using various chemicals. On the basis of these previous works, we studied the effect of slurry components on uniformity. Chemical mechanical planarization of copper was performed using citric acid (C6H8O7), hydrogen peroxide (H2O2), colloidal silica, and benzotriazole (BTA, C6H4N3H) as a complexing agent, an oxidizer, an abrasive, and a corrosion inhibitor, respectively. As citric acid was added to copper CMP slurry (pH 4) containing 3 vol% hydrogen peroxide and 3 wt% colloidal silica, the material removal (MRR) at the wafer center was higher than its edge. Hydrogen peroxide could not induce a remarkable change in the profile of MRR. Colloidal silica, used as an abrasive in copper CMP slurry containing 0.01 M of citric acid and 3 vol% of hydrogen peroxide, controlled the profile of MRR by abrading the wafer edge. BTA as a corrosion inhibitor decreased the MRR and seems to control the material removal around the wafer center. All the results of in this study showed that the MRR profile of copper CMP could be controlled by the contents of slurry components.  相似文献   

19.
有机胺碱对硅通孔铜膜化学机械抛光的影响   总被引:1,自引:0,他引:1  
有机胺碱可与铜离子反应且产物在碱性条件下溶于水,这为硅通孔(TSV)铜膜的碱性化学机械抛光(CMP)提供了有利条件.研究了大分子有机胺碱对铜膜化学机械抛光的影响.首先测试了不同体积分数有机胺碱对碱性抛光液中磨料粒径和Zeta电位的影响,然后在直径3英寸(1英寸=2.54 cm)铜片上模拟了不同体积分数有机胺碱对铜去除速率的影响.实验结果表明:有机胺碱对抛光液中磨料粒径和Zeta电位没有影响;随着有机胺碱体积分数的增加,铜的去除速率先快速增加,达到一峰值后趋于稳定,最后略有下降;当有机胺碱的体积分数为5%时,TSV图形片铜膜去除速率达到最高值2.1tμm/min,剩余铜膜总厚度差减小到1.321 76 nm,实现了纳米级的化学机械抛光.  相似文献   

20.
An inadvertent oxide layer is formed on a titanium disilicide (TiSi2) film following various wet and dry processes in a manufacturing environment. The use of H2SO4:H2O2:H2O (1:1:5) as a wet etch for excess Ti metal, prior to the high temperature anneal used to form a subsequent TiSi2 layer, is identified as the source of the undesired oxide via multiwavelength spectroscopic ellipsometry and Auger electron spectrometry studies. This inadvertent oxide layer on TiSi2 is shown to form bad electrical contacts and is a contributing source to large standby currents in polysilicon gate shunts. Spectroscopic ellipsometry is shown herein as a unique analytical tool to determine both the thickness and structure of this poorly structured oxide during process development. A single wavelength ellipsometer monitoring scheme for both the appearance as well as the thickness of this inadvertent oxide layer is proposed for use in high-volume manufacturing  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号