首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 593 毫秒
1.
Two different plasma chemistries for etching ZnO were examined. Both Cl2/Ar and CH4/H2/Ar produced etch rates which increased linearly with rf power, reaching values of 1200 Å/min for Cl2/Ar and 3000 Å/min for CH4/H2/Ar. The evolution of surface morphology, surface composition, and PL intensity as a function of energy during etching were monitored. The effect of H in ZnO was studied using direct implantation at doses of 1015–1016 cm−2, followed by annealing at 500–700 °C. The hydrogen shows significant outdiffusion at 500 °C and is below the detection limits of SIMS after 700 °C anneals. SEM of the etched features showed anisotropic sidewalls, indicative of an ion-driven etch mechanism.  相似文献   

2.
The defects induced by inductively coupled plasma reactive ion etching (ICP-RIE) on a Si-doped gallium nitride (GaN:Si) surface have been analyzed. According to the capacitance analysis, the interfacial states density after the ICP-etching process may be higher than 5.4 × 1012 eV−1 cm−2, compared to around 1.5 × 1011 eV−1 cm−2 of non-ICP-treated samples. After the ICP-etching process, three kinds of interfacial states density are observed and characterized at different annealing parameters. After the annealing process, the ICP-induced defects could be reduced more than one order of magnitude in both N2 and H2 ambient. The H2 ambient shows a better behavior in removing ICP-induced defects at a temperature around 500 °C, and the interfacial states density around 2.2 × 1011 eV−1 cm−2can be achieved. At a temperature higher than 600 °C, the N2 ambient provides a much more stable interfacial states behavior than the H2 ambient.  相似文献   

3.
An experimental study has been carried out on the performance of n-type x = 0.31 HgCdTe photoconductive detectors in order to evaluate two different etching techniques; dry plasma etching, in the form of H2/CH4 reactive ion etching (RIE), and wet chemical etching using bromine in hydrobromic acid. Two-dimensional laser beam-induced current (LBIC) imaging was employed as an in-line process monitoring tool to evaluate the lateral extent of reactive ion etching (RIE) induced doping changes in the HgCdTe epilayer following mesa delineation. Responsivity and noise measurements were performed on fabricated mid-wavelength infrared (MWIR) photoconductive devices to evaluate the influence dry plasma etching has on material properties. For a signal wavelength of 3 μm, 60° field of view, and a temperature of 80 K, background limited D λ * performance was recorded for wet chemical processed devices but not for the dry plasma processed devices. The D λ * values obtained for wet chemical and dry plasma etched photoconductive detectors were 2.5×1011 cmHz1/2W−1 and 1.0×1010 cmHz1/2W−1, respectively. Mercury annealing, which has been shown to restore the electrical properties of dry plasma processed HgCdTe, could be used to lessen the influence that RIE dry plasma etching has on photoconductor detector performance.  相似文献   

4.
Aluminium nitride (AlN) thin films were deposited by radio frequency (RF) magnetron sputtering on p-type silicon (Si) substrate of (1 0 0) orientation using only argon (Ar) gas at substrate temperature of 300 °C. In order to achieve improved electrical properties, we performed post-deposition rapid thermal annealing (RTA). Sputtered AlN films were annealed in an oxygen ambient at temperatures of 600, 700, and 800 °C using RTA for 30 min. The orientation of the AlN crystal in the film was investigated using X-ray diffraction (XRD). The characteristic spectra by functional group were analyzed by Fourier transformation infrared (FTIR) spectroscopy. The electrical properties of the AlN thin films were studied through capacitance–voltage (C–V) characteristics in metal–insulator–semiconductor (MIS) device using the films as insulating layers. The flatband voltages (VFB) in C–V curves were found to depend on crystal orientations. Negative VFB was found in the case when AlN (1 0 0) peak was found. Also, when AlN (1 0 3) peak was observed upon increasing the annealing temperature, the value of VFB was positive and after annealing at 700 °C, AlN (1 0 3) peak intensity was found to be maximum and VFB was as high as+6.5 V.  相似文献   

5.
Hydrogen is readily incorporated into bulk, single-crystal ZnO during exposure to plasmas at moderate (100–300°C) temperatures. Incorporation depths of >25 μm were obtained in 0.5 h at 300°C, producing a diffusivity of 8 × 10−10 cm2/V s at this temperature. The activation energy for diffusion is 0.17 ± 0.12 eV, indicating an interstitial mechanism. Subsequent annealing at 500–600 °C is sufficient to evolve all of the hydrogen out of the ZnO, at least to the sensitivity of Secondary Ion Mass Spectrometry (<5 × 1015 cm−3). The thermal stability of hydrogen retention is slightly greater when the hydrogen is incorporated by direct implantation relative to plasma exposure, due to trapping at residual damage.  相似文献   

6.
This paper investigates the reactive ion etching of SiO2, Si3N4, and Si using CHF3/O2 plasma. In particular, we have characterized the time and rf power dependence of the carrier lifetimes in n‐ and p‐type FZ Si. The time dependence of reactive ion etching (RIE) at different rf powers provide insight into the two competing processes of damage accumulation and damage removal in the near‐surface region of the Si during plasma etching. The carrier lifetime, measured using the quasi‐steady‐state photoconductance (QSSPC) technique, has a quadratic dependence on the rf power, which can be related to changes in the dc self‐bias generated by the plasma at different rf powers. The change in carrier lifetime is similar in both n‐ and p‐type Si of the same doping concentration. Using this fact, together with the electronic properties of defects obtained by deep level transient spectroscopy (DLTS), we have modeled the injection‐dependence of the measured carrier lifetimes using the Shockley–Read–Hall model. The isochronal annealing behavior of plasma etched Si has also been studied. Copyright © 2006 John Wiley & Sons, Ltd.  相似文献   

7.
Aluminum nitride films were deposited, at 200 °C, on silicon substrates by RF sputtering. Effects of rapid thermal annealing on these films, at temperatures ranging from 400 to 1000 °C, have been studied. Fourier transform infrared spectroscopy (FTIR) revealed that the characteristic absorption band of Al–N, around 684 cm−1, became prominent with increased annealing temperature. X-ray diffraction (XRD) patterns exhibited a better, c-axis, (0 0 2) oriented AlN films at 800 °C. Significant rise in surface roughness, from 2.1 to 3.68 nm, was observed as annealing temperatures increased. Apart from these observations, micro-cracks were observed at 1000 °C. Insulator charge density increased from 2×1011 to 7.7×1011 cm−2 at higher temperatures, whereas, the interface charge density was found minimum, 3.2×1011 eV−1cm−2, at 600 °C.  相似文献   

8.
Transient enhanced diffusion of boron marker layers following silicon ion implantation shows a complex behavior as a function of annealing temperature and time. In the initial phase of ripening, small clusters with low binding energy give rise to an extremely large interstitial supersaturation (106–107 at 600°C). As the clusters ripen into {113} defects the supersaturation drops to a level which remains almost constant with time until the {113} defects have dissolved. By inverse modeling of the Ostwald ripening process, values are extracted for several basic physical parameters: the energy barrier for boron-interstitial association, the dissociation energy Ediss of the migrating boron-interstitial species, and the interstitial self-diffusion product. The data are consistent with recent ab initio predictions that the migrating boron species is a boron-interstitial pair. Analysis of the detailed time evolution of TED allows us to extract Ediss for silicon clusters and {113} defects as a function of defect size, n. We find strong oscillations on Ediss in the size range 2<n<10. For larger clusters Ediss rapidly converges to a near-constant value of about 3.7 eV, characteristic of {113} defects. The results have been initially implemented in the atomistic Monte Carlo simulator DADOS.  相似文献   

9.
The damage induced in the thin SiO2–Si system after an exposure to O2 and N2 plasma working in reactive ion etching (RIE) mode has been studied. A generation of high density (up to 5×1012 cm−2 in the first 15 s plasma exposure) of positive oxide charge in bulk traps as well as in slow states has been established. The RIE damage effects become highly process dependent as the plasma time increases, the fixed oxide charge first increases and then slows down or even turns around depending on discharge conditions. It is suggested that the relative contribution of the two main plasma components (ion bombardment and vacuum UV photons) at different discharge regimes is the reason for the appearance or the absence of the “turn-around” effect. It is established that the combination O2 plasma and low pressure is critical for the degradation of the plasma treated samples. The results reveal a strong linear correlation between the leakage current detected and plasma created positive charge.  相似文献   

10.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

11.
The influence of crystal damage on the electrical properties and the doping profile of the implanted p+–n junction has been studied at different annealing temperatures using process simulator TMA-SUPREM4. This was done by carrying out two different implantations; one with implantation dose of 1015 BF2+ ions/cm2 at an energy of 80 keV and other with 1015 B+ ions/cm2 at 17.93 keV. Substrate orientation 1 1 1 of phosphorus-doped n-type Si wafers of resistivity 4 kΩ cm and tilt 7° was used, and isochronally annealing was performed in N2 ambient for 180 min in temperature range between 400°C and 1350°C. The diode properties were analysed in terms of junction depth, sheet resistance. It has been found that for low thermal budget annealing, boron diffusion depth is insensitive to the variation in annealing temperature for BF2+-implanted devices, whereas, boron diffusion depth increases continuously for B+-implanted devices. In BF2+-implanted devices, fluorine diffusion improves the breakdown voltage of the silicon microstrip detector for annealing temperature upto 900°C.For high thermal budget annealing, it has been shown that the electrical characteristics of BF2+-implanted devices is similar to that obtained in B+-implanted devices.  相似文献   

12.
In this study, investigation on Au/Ti/Al ohmic contact to n-type 4H–SiC and its thermal stability are reported. Specific contact resistances (SCRs) in the range of 10−4–10−6 Ω cm2, and the best SCR as low as 2.8 × 10−6 Ω cm2 has been generally achieved after rapid thermal annealing in Ar for 5 min at 800 °C and above. About 1–2 order(s) of magnitude improvement in SCR as compared to those Al/Ti series ohmic systems in n-SiC reported in literature is obtained. XRD analysis shows that the low resistance contact would be attributed to the formation of titanium silicides (TiSi2 and TiSi) and Ti3SiC2 at the metal/n-SiC interface after thermal annealing. The Au/Ti/Al ohmic contact is thermally stable during thermal aging treatment in Ar at temperature in the 100–500 °C range for 20 h.  相似文献   

13.
Rapid thermal processing of semiconductors involves significant photonic and subsequent thermal excitation. In the past, photonic excitation during rapid thermal annealing had been speculated to lead to significant enhancement of dopant diffusion or activation. In this work we present some experimental results indicating the absence of any such enhancement at high temperatures (1000–1050°C) which most often are employed during the metal-oxide–semiconductor device processing. The implanted dopant (boron, arsenic or phosphorus) movement in silicon during different rapid thermal annealing conditions was studied using secondary ion mass spectroscopy (SIMS) technique. To understand the effect of point defects in controlling the diffusion process, the concentrations of charged and neutral point defects were calculated as a function of carrier concentration using previously published defect-carrier relations. The dependence of free carrier concentration on lattice perturbation parameters such as impurities and temperature was formulated and used in calculating carrier lifetimes (τ) in silicon. We qualitatively analyze two competing reactions, (i) the phonon release at the defect sites and (ii) the Auger electron process due to many electron interactions, to explain the apparent absence of any enhanced dopant diffusion. In our analyses, we obtain a highest free carrier lifetime of about 442 ns in the case of low dose (1e13/cm2) implanted sample during the transient stage (700°C) of the dopant activation cycle. The corresponding smallest (17 fs) free carrier lifetime was obtained for the high dose implanted sample (dopants already activated) at 1000°C, the steady state part of an extended anneal cycle. Based on the detailed free carrier lifetime analyses, we suggest that any enhanced dopant activation or diffusion, at the best, may occur only at very low temperatures in the samples implanted with low doses of dopant atoms.  相似文献   

14.
Ultra-shallow 28–88 nm n+p junctions formed by PH3 and AsH3 plasma immersion ion implantation (PIII) have been studied. The reverse leakage current density and intrinsic bulk leakage current density of the diodes are found to be as low as 4.2 nA cm−2 and 2.4 nA cm−2, respectively. The influences of pre-annealing condition and the carrier gas on the junction depth and the sheet resistance are also studied. It is found that the increase of H or He content in the PH3 PIII can slow down the phosphorus diffusion and shallower junction can been obtained. Annealing conditions have pronounced effect on the sheet resistance. It was found that sample annealed at 850 °C for 20 s has reverse results to that annealed at 900 °C for 6 s. For AsH3 PIII samples, it is observed that two-step annealing is more effective to activate the dopant and a lower reverse current density resulted.  相似文献   

15.
This work is an attempt to estimate the electrical properties of SiO2 thin films by recording and analyzing their infrared transmission spectra. In order to study a big variety of films having different infrared and electrical properties, we studied SiO2 films prepared by low pressure chemical vapor deposition (LPCVD) from SiH4 + O2 mixtures at 425 °C and annealed at 750 °C and 950 °C for 30 min. In addition thermally grown gate quality SiO2 films of similar thickness were studied in order to compare their infrared and electrical properties with the LPCVD oxides. It was found that all studied SiO2 films have two groups of Si–O–Si bridges. The first group corresponds to bridges located in the bulk of the film and far away from the interfaces, the grain boundaries and defects and the second group corresponds to all other bridges located near the interfaces, the grain boundaries and defects. The relative population of the bulk over the boundary bridges was found equal to 0.60 for the LPCVD film after deposition and increased to 4.0 for the LPCVD films after annealing at 950 °C. Thermally grown SiO2 films at 950 °C were found to have a relative population of Si–O–Si bridges equal to 3.9. The interface trap density of the LPCVD film after deposition was found equal to 5.47 × 1012 eV−1 cm−2 and decreases to 6.50 × 1010 eV−1 cm−2 after annealing at 950 °C for 30 min. The interface trap density of the thermally grown film was found equal to 1.27 × 1011 eV−1 cm−2 showing that films with similar Si–O–Si bridge populations calculated from the FTIR analysis have similar interface trap densities.  相似文献   

16.
Silicon dioxide films have been deposited at temperatures less than 270 °C in an electron cyclotron resonance (ECR) plasma reactor from a gas phase combination of O2, SiH4 and He. The physical characterization of the material was carried out through pinhole density analysis as a function of substrate temperature for different μ-wave power (Ew). Higher Ew at room deposition temperature (RT) shows low defects densities (<7 pinhole/mm2) ensuring low-temperatures process integration on large area. From FTIR analysis and Thermal Desorption Spectroscopy we also evaluated very low hydrogen content if compared to conventional rf-PECVD SiO2 deposited at 350 °C. Electrical properties have been measured in MOS devices, depositing SiO2 at RT. No significant charge injection up to fields 6–7 MV/cm and average breakdown electric field >10 MV/cm are observed from ramps IV. Moreover, from high frequency and quasi-static CV characteristics we studied interface quality as function of annealing time and annealing temperature in N2. We found that even for low annealing temperature (200 °C) is possible to reduce considerably the interface state density down to 5 × 1011 cm−2 eV−1. These results show that a complete low-temperatures process can be achieved for the integration of SiO2 as gate insulator in polysilicon TFTs on plastic substrates.  相似文献   

17.
Bonding and thermal stability of implanted hydrogen in silicon   总被引:1,自引:0,他引:1  
The behavior of implanted hydrogen in Si has been investigated by differential infrared transmittance measurements using multiple-internal-reflection (MIR) plates. Si-H bonding of implanted hydrogen is detected by seven absorption bands between 4.5 and 5.5 μm after implantation with 1016 H+/cm2 at ion energies between 70 and 400 keV. The absorption bands are close in frequency to those for SiH stretching modes for silane, and they are produced only by hydrogen implantation. Implantation with deuterium gave absorption bands shifted to lower frequencies in accord with the square root of the reduced mass ratio for Si-H relative to Si-D. The multiplicity of hydrogen-associated bands is apparently a consequence of defects in the implanted layer. A dependence of the hydrogen-associated bands on the disorder is suggested by the annealing loss of five of the initial seven bands, and a growth of the other two, for the same temperatures (100–300°C) as those for annealing out the broad divacancy band at 1.8 μm. A disorder dependence of the Si-H vibrational frequencies is further demonstrated by a regeneration of the bands annealing below 300°C when a hydrogen-implanted MIR plate annealed at 300°C was subsequently bombarded with neon. In addition to the seven resolved bands after H+ implantation, five other bands in the same range of frequencies grow in and anneal out between 100 and 700°C. Annealing at 700°C eliminates all SiH bands, and they cannot be regenerated by bombardment with other ions. It is suggested that implanted hydrogen in Si is bonded at defect sites, and that a loss of an SiH band is caused by either a change in charge state of a defect or by the loss of a defect. This work was supported by the United States Atomic Energy Commission  相似文献   

18.
In this work hafnium oxide (HfO2) was deposited by r.f. magnetron sputtering at room temperature and then annealed at 200 °C in forming gas (N2+H2) and oxygen atmospheres, respectively for 2, 5 and 10 h. After 2 h annealing in forming gas an improvement in the interface properties occurs with the associated flat band voltage changing from −2.23 to −1.28 V. This means a reduction in the oxide charge density from 1.33×1012 to 7.62×1011 cm−2. After 5 h annealing only the dielectric constant improves due to densification of the film. Finally, after 10 h annealing we notice a degradation of the electrical film's properties, with the flat band voltage and fixed charge density being −2.96 V and 1.64×1012 cm−2, respectively. Besides that, the leakage current also increases due to crystallization. On the other hand, by depositing the films at 200 °C or annealing it in an oxidizing atmosphere no improvements are observed when comparing these data to the ones obtained by annealing the films in forming gas. Here the flat band voltage is more negative and the hysteresis on the CV plot is larger than the one recorded on films annealed in forming gas, meaning a degradation of the interfacial properties.  相似文献   

19.
We report measured evolutions of the optical band gap, refractive index and relative dielectric constant of TiO2 films obtained by electron beam gun evaporation and annealed in an oxygen environment. A negative shift of the flat band voltage with increasing annealing temperatures, for any film thickness, is observed. A dramatic reduction of the leakage current by about four orders of magnitude to 5×10−6 A cm−2 (at 1 MV cm−1) after 700°C and 60 min annealing is found for films thinner than 15 nm. The basic carrier transport mechanisms at different ranges of applied voltage such as hopping, space charge limited current and Fowler–Nordheim is established. An equivalent SiO2 thickness in order of 3.5 nm is demonstrated.  相似文献   

20.
Results of a study of electrically active defects induced in Sb-doped Ge crystals by implantations of hydrogen and helium ions (protons and alpha particles) with energies in the range from 500 keV to 1 MeV and doses in the range 1×1010–1×1014 cm−2 are presented in this work. Transformations of the defects upon post-implantation isochronal anneals in the temperature range 50–350 °C have also been studied. The results have been obtained by means of capacitance–voltage (CV) measurements and deep-level transient spectroscopy (DLTS).It was found from an analysis of DLTS spectra that low doses (<5×1010 cm−2) of H and He ion implantations resulted in the introduction of damage similar to that observed after MeV electron irradiation. The Sb–vacancy complex was the dominant deep-level defect in the lightly implanted samples. After implantations with doses higher than 5×1010 cm−2 peaks due to more complex defects were observed in the DLTS spectra. Implantations with heavy (5×1013 cm−2) doses of both H and He ions caused the formation of a sub-surface layer with a high (up to 1×1017 cm−3) concentration of donors. These donors were eliminated by anneals at temperatures in the range 100–200 °C. Heat treatments of the heavy proton-implanted Ge samples in the temperature range 250–300 °C resulted in the formation of shallow hydrogen-related donors, the concentration of which was the highest in a region close to the projected depth of implanted protons. The maximum peak concentration of the H-related donors was higher than 1×1015 cm−3 for a proton implantation dose of 1×1014 cm−2.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号