首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
The sol-gel technique has been used to prepare ferroelectric barium titanate (BaTiO3) films. The electrical properties of the films have been investigated systematically. The room temperature dielectric constant (ε) and loss tangent (tanδ) at 1 kHz were respectively found to be 370 and 0.012. Both ε and tanδ showed anomaly peaks at 125°C. The room temperature remanant polarization (Pr) and coercive field (Ec) were found to be 3.2 μC/cm2 and 30 kV/cm, respectively. The capacitance–voltage (CV) and conductance–voltage (GV) characteristics also showed hysteresis effect. The temperature variation of CV and G–V characteristics also confirms the ferroelectric to paraelectric phase transition at 125°C.  相似文献   

2.
Hafnium dioxide (HfO2) thin films were prepared on Si substrates using the chemical solution deposition (CSD) method. The Au/HfO2/n-Si/Ag structures were characterized by X-ray diffraction (XRD), CV curves and leakage current measurements. A relative dielectric constant of about 13.5 was obtained for the 65 nm HfO2 film. Atomic force microscopy (AFM) measurements show uniform surfaces of the films. CV hysteresis was found for the metal-oxide-semiconductor (MOS) structures with HfO2 films of 52 and 65 nm thick. It is found that the width of CV windows is related with the thickness of the HfO2 films. Furthermore, the CV hysteresis reveals the possibility of stress-effect, suggesting that it is possible to use HfO2 to build an MOS structure with controllable CV windows for memory devices. The leakage current decreases as the film thickness increases and a relatively low leakage current density has been achieved with the HfO2 film of 65 nm.  相似文献   

3.
M. Hacke  H. L. Bay  S. Mantl 《Thin solid films》1996,280(1-2):107-111
Silicon molecular beam epitaxy (Si-MBE) has been used to produce silicon oxide (SiOx) films by evaporating Si on a heated Si(100) substrate in an ultra high vacuum system with an O2 pressure of 10−6 to 10−4 mbar. Then the SiOx films were overgrown with pure Si. The influence of the substrate temperature, the O2 pressure and the Si deposition rate on the oxygen content in the SiOx films and on the crystalline quality of the Si top-layer was investigated by Rutherford backscattering spectrometry and ion channeling. Epitaxial growth of the Si top-layer was observed up to a maximum concentration of ≈20 at.% oxygen content in the SiOx film. Cross-sectional transmission electron microscopy shows that the structure of the SiOx film changes duringa subsequent annealing procedure. Electron energy loss spectrometry proves that amorphous SiO2 is formed and the development of holes indicates that the density of the as-grown SiOx film is much lower than that of SiO2. The specific for the as-grown SiOx films was determined by IV measurements.  相似文献   

4.
M. Din  R. D. Gould 《Thin solid films》1999,340(1-2):28-32
Cadmium arsenide is a II–V semiconductor which exhibits n-type intrinsic conductivity with high mobility up to μn=1.0–1.5 m2/V s. Potential applications include magnetoresistors and both thermal and photodetectors, which require electrical characterization over a wide range of deposition and measurement conditions. The films were prepared by vacuum evaporation with deposition rates in the range 0.5–6.0 nm/s and substrate temperatures maintained at constant values of 20–120°C. Sandwich-type samples were deposited with film thicknesses of 0.1–1.1 μm using evaporated electrodes of Ag and occasionally Au or Al. Above a typical electric field Fb of up to 5×107 V/m all samples showed instabilities characteristic of dielectric breakdown or electroforming. Below this field they showed a high-field conduction process with logJV1/2, where J is the current density and V the applied voltage. This type of dependence is indicative of carrier excitation over a potential barrier whose effective barrier height has been lowered by the high electric field. The field-lowering coefficient β had a value of (1.2–5.3)×10−5 eV m1/2/V1/2 which is reasonably consistent with the theoretical value of βPF=2.19×10−5 eV m1/2/V1/2 expected when the field-lowering occurs at donor-like centres in the semiconductor (Poole–Frenkel effect). For thinner films Schottky emission was more probable. The effects of the film thickness, electrode materials, deposition rate, and substrate temperature on the conductivity behaviour are discussed.  相似文献   

5.
The characterizations of SiOCH films using oxygen plasma treatment depends linearly on the O2/CO flow rate ratio. According to the results of Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) analyses, it was found that the carbon composition decreases with increasing O2/CO flow rate ratio, because more carbon in the Si–O–C and Si–CH3 bonds on the film surface would be converted by oxygen radicals. It was believed that the oxygen plasma could oxidize the SiOCH films and form a SiOx interfacial capping layer without much porosity. Moreover, the result of FTIR analysis revealed that there was no water absorbed on the film. A SiO2-like capping layer formed at the SiOCH film by the O2/CO flow rate ratio of 0.75 had nearly the same dielectric properties from the result of capacitance–voltage (CV) measurement in our research.  相似文献   

6.
Hot-wire chemical vapor-deposited silicon nitride is a potential dielectric material compared to glow-discharge-deposited material due to its lower hydrogen content. In several earlier publications we have demonstrated these aspects of the HWCVD nitride. However, to replace SiO2 with a-SiN:H as the gate dielectric, this material needs further improvement. In this paper we report the results of our efforts to achieve this through nitrogen dilution of the SiH4+NH3 gas mixture used for deposition. To understand the electrical behavior of these nitride films, we characterized the films by high-frequency capacitance–voltage (HFCV) and DC JE measurements. We attempted to evolve a correlation between the breakdown strength, as determined from the JE curves, and aspects such as the bond density, etching rate, deposition rate and refractive index. From these correlations, we infer that nitrogen dilution of the source gas mixture has a beneficial effect on the physical and electrical properties of the hot-wire a-SiN:H films. For the highest dilution, we obtained a breakdown voltage of 12 MV cm−1.  相似文献   

7.
Si–C films with the Si compositions ranging from 40 to 70% have been grown by Cat-CVD using dimethylsilane [DMSi, Si(CH3)2H2] compounds. Tetraethoxysilane [TEOS, Si(OC2H5)4] and dimethyldimethoxysilane [DMDMOS, Si(CH3)2(OCH3)2] gas source gave us Si–C–O (C-doped SiOx) films with wide ternary alloy compositions. The dielectric constant of a Si–C film has been evaluated by CV measurements (at 1 MHz) using Al/Si–C/n-Si(001)/Cu MIS structure. The relative dielectric constant value of a Si–C film was estimated to be 3.0. The resistivity of the Si–C layer with 1 mm diameter and 0.24 μm thickness was estimated to be more than 24.5 Gohm·cm. These results gave us promising characteristics of Si–C and Si–C–O films grown by alkylsilane- and alcoxysilane-based Cat-CVD.  相似文献   

8.
S. Riad   《Thin solid films》2000,370(1-2):253-257
Heterojunction cells of p-MgPc/n-Si have been fabricated by thermal evaporation of MgPc thin films onto Si100 single crystal wafers. The devices exhibit strong photovoltaic characteristics with an open–circuit voltage of 0.35 V, a short–circuit current of 3.57 mA and a power conversion efficiency of 1.05%. These parameters have been estimated at room temperature and under a monochromatic illumination of 633 nm with an input power density of 50 mW/cm2. The activation energy of the charge carriers of 0.32 eV and the cell series resistance of 2 kΩ have been evaluated from the measurements of the dark IV characteristics. A free–carrier concentration of 2.2×1016 cm−3 and a barrier width of 75 nm have been estimated from CV measurements. The temperature dependence of photocurrent, at constant illumination, has been also investigated.  相似文献   

9.
New barrier layer, etch stop and hardmask films, including hydrogenated amorphous a-SiCx:H (SiC), a-SiCxOy:H (SiCO), and a-SiCxNy:H (SiCN) films with a dielectric constant (k) approximately 4.3, are produced using the plasma-enhanced chemical vapor deposition technique. The chemical and structural nature, and mechanical properties of these films are characterized using X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and nano-indentation. The leakage current density and breakdown electric field are investigated by a mercury probe on a metal-insulator-semiconductor structure. The properties of the studied films indicate that they are potential candidates as barrier layer, etch stop and hardmask films for the advanced interconnect technology. The SiC film shows a high leakage current density (1.3×10−7 A/cm2 at 1.0 MV/cm) and low breakdown field (1.2 MV/cm at 1.0×10−6 A/cm2). Considering the mechanical and electrical properties requirements of the interconnect process, SiCN might be a good choice, but the N content may result in via poison problem. The low leakage current (1.2×10−9 A/cm2 at 1.0 MV/cm), high breakdown field (3.1 MV/cm at 1.0×10−6 A/cm2), and relative high hardness (5.7 GPa) of the SiCO film indicates a good candidate as a barrier layer, etch stop, or hardmask.  相似文献   

10.
Doping and electrical characteristics of in-situ heavily B-doped Si1−xyGexCy (0.22<x<0.6, 0<y<0.02) films epitaxially grown on Si(100) were investigated. The epitaxial growth was carried out at 550°C in a SiH4–GeH4–CH3SiH3–B2H6–H2 gas mixture using an ultraclean hot-wall low-pressure chemical vapor deposition (LPCVD) system. It was found that the deposition rate increased with increasing GeH4 partial pressure, and only at high GeH4 partial pressure did it decrease with increasing B2H6 as well as CH3SiH3 partial pressures. With the B2H6 addition, the Ge and C fractions scarcely changed and the B concentration (CB) increased proportionally. The C fraction increased proportionally with increasing CH3SiH3 partial pressures. These results can be explained by the modified Langmuir-type adsorption and reaction scheme. In B-doped Si1−xyGexCy with y=0.0054 or below, the carrier concentration was nearly equal to CB up to approximately 2×1020 cm−3 and was saturated at approximately 5×1020 cm−3, regardless of the Ge fraction. The B-doped Si1−xyGexCy with high Ge and C fractions contained some electrically inactive B even at the lower CB region. Resistivity measurements show that the existence of C in the film enhances alloy scattering. The discrepancy between the observed lattice constant and the calculated value at the higher Ge and C fraction suggests that the B and C atoms exist at the interstitial site more preferentially.  相似文献   

11.
The goal of this study was to apply and compare different computational compaction models to the dynamic compaction of porous silicon dioxide (SiO2) powder. Three initial specific volumes were investigated in this study, V00=1.3, 4 and 10 cm3/g, where the solid material specific volume is V0=0.4545 cm3/g. Two hydrodynamic codes, KO and CTH, were used to simulate the experimental results. Two compaction models, P and Pλ were implemented within CTH in conjunction with the Mie–Grüneisen (MG) equation of state. The snowplow (SP) compaction model was implemented within KO. In addition, the MG equation of state based on the experimentally measured Hugoniot was implemented within CTH and was compared to the data as well. One-dimensional flyer plate experiments were conducted with impact velocities ranging from 0.25 to 1.0 km/s, which corresponded to a shock incident pressure range of 0.77–2.25 GPa. The computational simulations were compared to the temporal lateral stress signatures measured with manganin gauges, placed before and after the silica powder. It was found that the MG equation of state (EOS) most accurately reproduce all of the experimental data whereas none of the compaction models accurately reproduced all of the experimental data. However, of the compaction models investigated that the P model tended to outperform the other considered.  相似文献   

12.
A study of growth, structure, and properties of Eu2O3 thin films were carried out. Films were grown at 500–600 °C temperature range on Si(1 0 0) and fused quartz from the complex of Eu(acac)3·Phen by low pressure metalorganic chemical vapor deposition technique which has been rarely used for Eu2O3 deposition. These films were polycrystalline. Depending on growth conditions and substrates employed, these films had also possessed a parasitic phase. This phase can be removed by post-deposition annealing in oxidizing ambient. Morphology of the films was characterized by well-packed spherical mounds. Optical measurements exhibited that the bandgap of pure Eu2O3 phase was 4.4 eV. High frequency 1 MHz capacitance–voltage (CV) measurements showed that the dielectric constant of pure Eu2O3 film was about 12. Possible effects of cation and oxygen deficiency and parasitic phase on the optical and electrical properties of Eu2O3 films have been briefly discussed.  相似文献   

13.
We fabricated electron-only tris (8-hydroxyquinoline) aluminum (Alq3) single-layer devices with a device structure of glass substrate/MgAg anode (100 nm)/Alq3 layer (100 nm)/metal cathode (100 nm), and systematically varied the work functions (WF) of the metal cathodes from WF = − 1.9 (Cs) to − 2.9 (Ca), − 3.8 (Mg), − 4.4 (Al), − 4.6 (Ag), and − 5.2 eV (Au) to investigate how electron injection barriers at the cathode/Alq3 interfaces influence their current density–voltage (JV) characteristics. We found that current densities at a certain driving voltage decrease and the temperature dependence of JV characteristics of the devices gradually becomes weaker as the work functions of the metal cathodes are decreased. The device with the highest-work-function Au cathode exhibited virtually temperature-independent JV characteristics, suggesting that a current flow mechanism of this device is mainly controlled by electron tunneling injection at the Au/Alq3 interface.  相似文献   

14.
A novel transparent pn junction based on indium tin oxides   总被引:1,自引:0,他引:1  
p-Type indium-doped SnO2 thin films were successfully fabricated on degenerate n+ indium tin oxide glass and quartz glass by sol gel dip-coating method. It was found from the X-ray diffraction results that indium-doped SnO2 thin films were in the same rutile structure as that of undoped SnO2. Hall effect measurement results showed that for In/Sn ratio≤0.33 and process temperature approximately 525 °C, the indium-doped tin oxide were p-type. The IV curve measurement of a prototype transparent pn+ junction consisting of a layer of p-type indium-doped SnO2 and a layer of degenerate n+ tin-doped indium oxide showed typical rectifying characteristics.  相似文献   

15.
In this research, we investigated the effect of 60Co γ-ray exposure on the electrical properties of Au/SnO2/n-Si (MIS) structures using current–voltage (IV) measurements. The fabricated devices were exposed to γ-ray doses ranging from 0 to 300 kGy at a dose rate of 2.12 kGy h−1 in water at room temperature. The density of interface states Nss as a function of EcEss is deduced from the forward bias IV data for each dose by taking into account the bias dependence effective barrier height and series resistance of device at room temperature. Experimental results show that the γ-irradiation gives rise to an increase in the zero bias barrier height ΦBO, as the ideality factor n and Nss decrease with increasing radiation dose. In addition, the values of series resistance were determined using Cheung's method. The Rs increases with increasing radiation dose. The results show that the main effect of the radiation is the generation of interface states with energy level within the forbidden band gap at the insulator/semiconductor interface.  相似文献   

16.
In this paper, bulk-Si metal–oxide–semiconductor field effect transistors (MOSFETs) are fabricated using the catalytic chemical vapor deposition (Cat-CVD) method as an alternative technology to the conventional high-temperature thermal chemical vapor deposition. Particularly, formation of low-resistivity phosphorus (P)-doped poly-Si films is attempted by using Cat-CVD-deposited amorphous silicon (a-Si) films and successive rapid thermal annealing (RTA) of them. Even after RTA processes, neither peeling nor bubbling are observed, since hydrogen contents in Cat-CVD a-Si films can be as low as 1.1%. Both the crystallization and low resistivity of 0.004 Ω·cm are realized by RTA at 1000 °C for only 5 s. It is also revealed that Cat-CVD SiNx films prepared at 250 °C show excellent oxidation resistance, when the thickness of films is larger than approximately 10 nm for wet O2 oxidation at 1100 °C. It is found that the thickness required to stop oxygen penetration is equivalent to that for thermal CVD SiNx prepared at 750 °C. Finally, complementary MOSFETs (CMOSs) of single-crystalline Si were fabricated by using Cat-CVD poly-Si for gate electrodes and SiNx films for masks of local oxidation of silicon (LOCOS). At 3.3 V operation, less than 1.0 pA μm−1 of OFF leakage current and ON/OFF ratio of 107–108 are realized, i.e. the devices can operate similarly to conventional thermal CVD process.  相似文献   

17.
Highly conducting p- and n-type poly-Si:H films were deposited by hot wire chemical vapor deposition (HWCVD) using SiH4+H2+B2H6 and SiH4+H2+PH3 gas mixtures, respectively. Conductivity of 1.2×102 (Ω cm)−1 for the p-type films and 2.25×102 (Ω cm)−1 for the n-type films was obtained. These are the highest values obtained so far by this technique. The increase in conductivity with substrate temperature (Ts) is attributed to the increase in grain size as reflected in the atomic force microscopy results. Interestingly conductivity of n-type films is higher than the p-type films deposited at the same Ts. To test the applicability of these films as gate contact Al/poly-Si/SiO2/Si capacitor structures with oxide thickness of 4 nm were fabricated on n-type c-Si wafers. Sputter etching of the poly-Si was optimized in order to fabricate the devices. The performance of the HWCVD poly-Si as gate material was monitored using CV measurements on a MOS test device at different frequencies. The results reveal that as deposited poly-Si without annealing shows low series resistance.  相似文献   

18.
The microwave dielectric properties and microstructures of Ba(Mg1/3Ta2/3)O3 (BMT) ceramics sintered at low temperatures with 2–3 wt.% NaF additives were investigated. BMT ceramics sintered at 1340 °C for 3–12 h showed dielectric constants (r) of 25.5–25.7, Qf values of 41 500–50 400 GHz and temperature coefficients of the resonator frequency (τf) of 10.9–21.4 ppm °C−1. The variation of sintering time almost had no effect on the dielectric constant. The Qf value increased and the τf decreased with increasing sintering time. The ordering degree of Mg2+ and Ta5+ at B-sites increased with increasing sintering time.  相似文献   

19.
This work concerns investigations on electrical properties of amorphous GaAs1−xNx thin films grown on GaAs substrates. Film deposition was carried out by RF sputtering of a GaAs target by adding a nitrogen carrier gas (NH3) to an Ar plasma. Chemical etching of substrates followed by different plasma treatments (like reverse bias and/or NH3 glow discharge) prior to film deposition have been studied. The effects of substrate and growth temperature and of total pressure in the reactor have been analysed. Electrical characteristics (CV and CV(T)) have enabled us to put in evidence the evolution of interface states of the a-GaAs1−xNx/c-GaAs junctions. The amorphous GaAs1−xNx thin films are potentially interesting to be considered for GaAs-based MIS structures, due to their relatively high resistivity values, or as passivating layers on GaAs devices.  相似文献   

20.
Thin films of BaxSr1−xTiO3 (BST, with x=0.5) were fabricated on a RuO2/Ru/SiO2/Si substrate by the spin coating of the multicomponent sol prepared using metal alkoxides. Boron alkoxide was intentionally introduced to establish a better microstructure and to reduce the leakage current. AFM indicated that a crack-free uniform microstructure having a smooth surface was gradually developed with increasing boron content. The relative dielectric permittivity of the 250-nm thick BST thin films fired at 700°C decreased with increasing content of boron, from 420 for the undoped film to 190 for the 10 mol% boron-added film at 1 MHz. This observation was interpreted in terms of a serial capacitance composed of the perovskite BST grain and the interfacial B2O3 glassy phase having a low dielectric permittivity. The leakage current density (J) also decreased with the amount of boron added. The leakage current for the applied voltage greater than 1 V showed a linear variation of logJ with E1/2 at room temperature, suggesting that the interface-controlled Schottky emission was the dominant conduction process for the BST thin films fabricated on the RuO2 electrode.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号