首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到15条相似文献,搜索用时 875 毫秒
1.
在以太网应用越来越广泛的背景下,针对某局域网具有传输数据量大和保持部分数据实时性的特点,采用了包含两种不同优先级帧的千兆以太网方案。基于Actel FPGA设计了一种带优先级队列的千兆全双工以太网MAC(Media access control),实现千兆以太网数据帧的收发,并对高优先级数据提供实时性支持。从分析含优先级字段的MAC帧结构着手,对MAC进行了模块划分,接着重点阐述了接收控制模块、发送控制模块和优先级队列模块。最后在ModelSim平台下对整个MAC进行了仿真验证;并使用Synplify进行了综合,结果表明GMII接口部分工作频率能达到141 MHz,内部模块工作频率能达到79 MHz,满足设计要求。  相似文献   

2.
为了实现千兆以太网业务在SDH网络上的传输(EOS),可以利用FPGA将以太网MAC数据帧在SDH数据帧中进行封装和映射处理。介绍了GFP封装协议以及虚级联技术,给出了FPGA内部的模块化设计方法。利用FPGA的强大功能和内部的丰富资源,简化了电路设计的复杂性。千兆以太网在SDH中的传输增强了SDH设备的业务传输能力。  相似文献   

3.
以太网IEEE802.3协议根据LAN的特点,把数据链路层分成LLC(逻辑链路控制)和MAC(介质访问控制)两个子层.MAC层协议作为数据帧收发的基础,是以太网技术的核心,主要负责上层数据和物理层的数据流量控制和数据流的检测、校验工作.介绍了基于FPGA的10MHz/100MHz以太网MAC控制器的设计,整个设计用Verilog语言实现.自主设计开发验证板,使用Altera厂商的FPGA(EP1C20F400C8)并验证.  相似文献   

4.
介绍一种基于千兆以太网技术实现FPGA和PC机的高速数据传输系统方案。讲述了一种使用FPGA的MAC硬核建立千兆以太网的方法。介绍了UDP协议以及网络数据封装成以太网MAC帧格式并进行发送的原理。实验证明,这种方法是一种性能优越、可靠性高的高速数据传输系统设计方案。  相似文献   

5.
6.
该文主要阐述在FPGA(Field—Programmable Gate Array)内千兆以太网协议数据流帧的生成、编码、组帧、解帧及协议帧分析,详细地阐述了BCM5421和FPGA组合的硬件设计技术、协议发生的FPGA设计技术、协议解码、过滤、性能分析的FPGA设计技术等关键技术的实现途径。  相似文献   

7.
丁世勇  谭文文  李桂英 《电子设计工程》2011,19(21):163-165,169
介绍了基于FPGA的以太网MAC控制器的设计,主要实现了半双工模式下CSMA/CD协议、全双工模式下Pause帧的收发,以及对物理层芯片中寄存器的读写访问。设计采用Verilog硬件描述语,按照自顶向下的设计流程描述了以太网的主要功能模块,该控制器通过Modelsim进行了仿真并进行了FPGA板级验证,验证其能够满足8...  相似文献   

8.
针对高速机载雷达数据传输的实际需求,设计了一种基于千兆以太网的高速机载雷达数据采集系统。系统以现场可编程门阵列(FPGA)为控制中心,采用FPGA 内部的两片高速FIFO 实现对高速雷达数据无缝缓存与传输。同时,采用FPGA 内部的千兆以太网MAC 控制器将FIFO 中的数据读取及处理,最终,通过RJ-45 接口将数据上传到上位机。地面测试结果表明:系统能够对传输速率为360 Mb/ s 高速串行雷达数据进行采集,并上传到上位机,验证了基于千兆以太网的高速机载雷达数据采集系统设计的可靠性与稳定性。  相似文献   

9.
描述了一种千兆以太网TAP卡的设计与实现方法。该TAP卡可将千兆以太网上的数据帧复制到检测端口,并可在复制出的帧中插入精确的时间戳。使用FPGA完成数据帧的传输、复制及时间戳的插入,使用FPGA内的软CPU通过GPS接口实现精确的时间同步。掉电保护电路用于在TAP卡掉电或故障时保证被监测以太网链路的正常传输。本TAP卡可用于对软交换、3G网络等的维护和传输质量检测。  相似文献   

10.
以太网媒体访问控制(MAC)及其FPGA实现研究   总被引:1,自引:0,他引:1  
郭俊 《现代电子技术》2008,31(10):88-90
百兆MAC(以太网媒体访问控制)是以太网IEEE 802.3协议规定的数据链路层的一部分,使用FPGA替代ASIC,实现以太网MAC功能非常实用。能够实现硬件系统多路多端口的以太网接入,并在自行开发需要以太网接入的嵌入式处理器设计中得到应用。具体探讨以太网MAC的功能定义,使用FPGA实现以太网MAC的方法,对以太网的相关应用设计具有指导作用。  相似文献   

11.
为了解决大数据量长距离传输的稳定性和传输速率的问题,采用复杂可编程芯片FPGA设计出千兆以太网传输系统。为了简化设计,采用MAC+PHY方法实现以太网帧的封装及传输,MAC采用Virtex-4的嵌入式以太网IP核实现,PHY采用MARVELL公司的88E1111芯片实现,两芯片接口采用GMII连接模式。实验仿真结果表明,该传输系统能支持1 000 Mbit/s传输速率,该设计方案是可行的,有一定的实用价值。  相似文献   

12.
千兆以太网(Gigabit Ethemet)技术目前被广泛应用于局域网中,千兆以太网二层(MAC层)交换芯片是千兆以太网中的关键芯片。文章介绍了一种基于FPGA的8端口千兆以太网交换芯片的实现方案.并且给出了仿真验证结果。结果表明,该设计方案是可行的。  相似文献   

13.
基于FPGA的千兆以太网设计   总被引:4,自引:1,他引:3  
千兆以太网拥有传输速度快、传输距离远、稳定可靠等优点,是当前嵌入式系统的应用热点。FPGA拥有丰富的逻辑和管脚资源,常用于高速数据处理和通信的嵌入式系统。本文描述一个基于FPGA的千兆以太网系统的设计,本设计在硬件上主要使用千兆以太网PHY芯片88E1111和Altera公司的StratixⅢ系列的FPGA,在FPGA的逻辑上实现NiosⅡ嵌入式系统和以太网的MAC控制器,在NiosⅡ系统的软件上移植入MicroC/OS-Ⅱ实时多任务操作系统和NicheStackTCP/IP协议堆栈。在FPGA上实现千兆以太网设计,有效提高了系统的可靠性和集成性,充分扩展FPGA的功能。  相似文献   

14.
千兆以太网在工程上的应用越来越迫切,许多快速可靠的数据传输任务要依靠其完成。文中提出了一种多通道数据采集系统设计方法,将采集的数据通过FPGA资源搭建的千兆以太网运行环境发送到上位机进行显示和存储,最大限度地发挥了FPGA和千兆以太网灵活与快速的优势。进而讨论了ARP包和Jumbo帧的相关问题,并实际测试了其对系统的影响。  相似文献   

15.
针对高速图像数据传输的实际需求设计了一种基于千兆以太网的大容量数据高速传输设计方案。该方案根据实际需求充分利用FPGA可重构性的优势,实现了以UDP协议为基础的自定义全双工MAC核,其优化的命令接收模式在接收端绕过了TCP/IP协议降低了FPGA端协议解析的复杂度与硬件开销;其流量可控并带有重传机制的数据上传模式可以与不同配置、不同工况的上位机稳定可靠高效率传输。实际最高传输速率达到稳定的49MB/s,性能优越。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号