首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 312 毫秒
1.
针对传统硬件系统产生SPWM(正弦脉冲调制)波存在电路复杂,离散性大以及不易控制等缺点,介绍了一种用ATmega8单片机相位修正PWM模式产生SPWM波的方法.运用面积等效原理生成SPWM脉冲序列,并将此SPWM波应用于单相逆变电源.实验结果表明波形良好,证明了利用其产生SPWM波的可行性和有效性.  相似文献   

2.
SPWM(正弦脉宽调制)波是变频控制中常用的波形.文中提出了一种研究SPWM波的方法,首先离线计算SPWM波脉宽数据,存储到FPGA(现场可编程门阵列)的ROM中,FPGA用查表法产生SPWM波,再采集SPWM波信号并用LABVIEW软件进行频谱分析.用此方法实际产生了各种模式的SPWM波,并对其谐波进行预评估.将FPGA与LABVIEW相结合,可以很方便地获得优化的SPWM波控制模式,具有较高的实用价值.  相似文献   

3.
给出了一种单电压SPWM反馈控制电路的原理图,并说明了各个部分的电路设计方法。从生成的SPWM波形可以看出,本设计电路能很好的实现SPWM波形的输出,而且简单实用,能够满足多种场合的应用要求。  相似文献   

4.
论述了目前UPS电源中所用到的一些SPWM控制方法,重点介绍了一种基于PIC16F73单片机产生SPWM控制波形的方法,以及这种方法应用于在线式纯正弦波UPS电源系统中的可行性。  相似文献   

5.
提出了一种基于NiosⅡ处理器的SPWM(Sinusoidal Pulse Width Modulationl系统设计方案,该系统主要由键盘、SPWM模块和液晶3部分组成。键盘实现SPWM模块的输入参数的控制;SPWM模块根据键盘的输人参数产生SPWM波形;液晶显示SPWM波形的数字值和输入参数值。整个系统由NiosⅡ处理器实现控制,应用DSP Builder搭建模型避免编写复杂硬件编程语言,而且可以实现幅度、相位和频率可控。经过行为、功能和时序三级仿真.实验结果表明纂于NiosⅡ处理器的SPWM系统可以方便的实现电机的智能控制,实现输入参数可控制和输出结果可观测。  相似文献   

6.
文章论述了SPWM的原理及其数学模型,重点介绍了基于TI公司的数字信号处理器TMS320LF2407A的SPWM波形产生原理。采用对称规则采样法简化系统的数学模型以及计算公式,通过查表法更新比较寄存器的值,提高了程序的运行速度,降低了系统的出错率。文中详细分析了事件管理器模块的每个寄存器的配置,并给出了关键的中断子程序。通过在线仿真调试,获得正确的SPWM波形和相应的死区时间波形。  相似文献   

7.
为了有效地降低逆变器负载的谐波,并且防止同一桥臂的功率放大管出现直通现象,设计了一种基于FPGA的新型数字SPWM波形产生方案.结合直接数字频率合成技术,在FPGA内部采用硬件描述语言生成数字的正弦波和三角波,然后采用了比较的方法直接产生所需要的SPWM波形.创新性为运用了数字正弦波或三角波的平移技术产生所要求的死区,最后提出了数字方法产生SPWM波形的几个技术难点的解决方法.  相似文献   

8.
基于SPWM的正弦波设计与实现   总被引:2,自引:1,他引:1  
PWM是D/A转换的一种方法,当PWM中的调制信号为正弦波时,得到的是SPWM波形。采样控制理论中有一个重要结论是冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。SPWM法就是以该结论为理论基础。通过面积等效法确定SPWM的脉冲宽度,运用数学软件MAPLE进行运算,按照严格的时序控制输出波形。采用VHDL硬件描述语言进行设计实现并使用Max PlusⅡ进行仿真验证,得到一组随着脉宽变化的数字信号。根据D/A转换器原理,把SPWM波形转换成正弦波输出。  相似文献   

9.
以分析一种新型的算法为背景,研究了一种用单片机控制的逆变电源模块,通过对算法的分析,运用单片机生成正弦脉宽调制(SPWM)波形来控制全桥开关管的通断,并最终生成交流正弦波。实验结果表明,该逆变电源生成的正弦波形具有波形失真度小、稳定度高的特点。  相似文献   

10.
μPD789842在变频空调中的应用   总被引:2,自引:0,他引:2  
介绍了专用于控制三相逆变器的单片机μPD789842的资源配置和结构功能,同时以变频空调控制器的设计为例,说明了用μPD789842实现其SPWM波形输出的具体方法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号