首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 937 毫秒
1.
基于FPGA的直接数字频率合成技术设计与实现   总被引:5,自引:0,他引:5  
介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成 (DDS)的原理、电路结构和优化方法。重点介绍了DDS技术在FPGA中的实现方法 ,给出了采用ALTERA公司的ACEX系列FP GA芯片EP1K30TC -144进行直接数字频率合成的VHDL源程序。  相似文献   

2.
基于改进DDS技术的FPGA数字调制器研究与实现   总被引:3,自引:0,他引:3  
提出了一种基于改进直接数字频率合成(DDS) 技术的现场可编程门阵列(FPGA)数字调制器设计与实现方法.该方法首先对DDS技术进行改进,然后再利用这种改进的DDS技术在Matlab/ DSP Builder环境下建立现场可编程门阵列(FPGA)数字调制器的设计模型.通过对二元频移键控(BFSK) 的仿真实验表明,使用这种改进DDS技术的FPGA数字调制器实现方法建立的模型进行算法级和寄存器传输级(RTL)仿真,不仅能验证模型的正确性和有效性,且还简化系统的硬件电路,节省系统资源,提高系统的可靠性与灵活性,最终达到成本低,修改方便,快速产生多种模式数字调制信号的目的.  相似文献   

3.
贾佳 《电子设计工程》2012,20(14):170-172
将虚拟仪器技术同FPGA技术结合,设计了一个频率可控的DDS任意波形信号发生器。在阐述直接数字频率合成技术的工作原理、电路构成的基础上,分别介绍了上位机虚拟仪器监控面板的功能和结构,以及实现DDS功能的下位机FPGA器件各模块化电路的作用。经过设计和电路测试,输出波形达到了技术要求,工作稳定可靠。  相似文献   

4.
介绍了直接数字频率合成(DDS)的基本原理和基于DDS技术的任意波形发生器的结构及工作方式,给出了任意波形发生器各组成电路的设计方案以及DDS通道的FPGA实现方法.  相似文献   

5.
本文介绍直接数字频率合成(DDS)的工作原理、设计方法以及如何用现场可编程门阵列(FPGA)来实现。  相似文献   

6.
在频率合成领域中,直接数字合成(DDS)是近年来新的技术,它是从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法。本文研究基于直接数字合成(DDS)技术的任意波形发生器(AWG)系统设计。以DDS技术为核心,采用FPGA芯片作为系统的硬件实现平台,利用VHDL语言编程实现正弦波的标准波形。结构紧凑,电路简...  相似文献   

7.
基于FPGA+DDS的MSK数字调制源设计   总被引:3,自引:0,他引:3  
简要介绍最小频移键控(MSK)的数字调制原理、直接数字式频率合成器(DDS)的调制机理。然后提出一种基于FPGA DDS的数字调制电路平台,详细介绍了此平台的硬件设计和软件控制流程,以及使用此方式具有调制模式多样、调制精度高、载波频率覆盖范围大、调制带宽宽、便于利用多种数字信号处理技术等优点。充分发挥DDS调制的优点和灵活性,并在此实验平台上测验其性能。  相似文献   

8.
非理想DDS输出信号分析及滤波处理   总被引:1,自引:0,他引:1  
直接数字频率合成(DDS)技术广泛应用于可变时钟发生电路中,文中介绍了直接数字频率合成(DDS)技术的基本原理和非理想情况下DDS输出频谱结构,然后分析了工程实际中杂散对时钟信号的影响,最后解释了以滤波器和锁相环(PLL)改善输出时钟信号质量的原理,并给出了实验结果。  相似文献   

9.
简要介绍了基于现场可编程门阵列(FPGA)及直接频率合成信号发生器(DDS)技术的信号发生器设计和实现.该设计采用CycloneⅡ系列器件EP2C8Q208C8实现DDS波形产生电路、D/A转换器控制及与ARM接口等功能,用先进精简指令单片机(ARM) STM32F103进行频率控制字、相位控制字,频率输出显示等控制.由于FPGA的晶振是50 MHz,经过增强型锁相环(PLL)后采样频率可达到250 MHz,通过14位400MSPS的高速数模转换器(DAC)和7阶椭圆低通滤波器,最终输出的正弦波最大频率可达到70 MHz.  相似文献   

10.
基于FPGA的DDS信号源设计   总被引:1,自引:0,他引:1  
描述了直接数字频率合成(DDS)的特点和原理,给出了一种用Altera的FPGA器件(EP1C3T144)设计DDS信号源的实现方案,同时给出了系统外围电路的设计方法及测试结果.  相似文献   

11.
基于SOPC和DDS技术的介电电泳芯片控制系统设计   总被引:1,自引:1,他引:0  
介绍了一种利用SOPC和DDS技术控制介电电泳芯片的方案.通过FPGA的DSP开发工具DSP Builder对直接数字频率合成器(DDS)进行建模,在QuartusII软件中生成DDS IP核.以Altera公司的嵌入在FPGA(Cy-clonII EP2C35)中的RISC结构的CPU软核NiosII为基础,控制四相位DDS模块实现驱动行波介电电泳芯片所需的四相位正弦波频率、相位和幅度的数字预制和步进,使介电电泳芯片内形成行波介电电场,驱动生物粒子随行波作定向移动,达到分离不同生物粒子的目的.重点讨论了基于DSP Builder的DDS IP核设计,系统的软、硬件实现方法,并通过仿真分析证明了这种设计方法的正确性和实用性.  相似文献   

12.
基于直接数字频率合成的可编程遥测信号源   总被引:2,自引:2,他引:0  
针对传统的遥测信号源缺乏灵活可配置性、通用性差的问题,提出采用FPGA和DDS技术为核心设计灵活可配置的可编程遥测信号源。该信号源的硬件电路主要由低成本FPGA芯片和DDS芯片组成,采用Verilog语言进行编程,使FPGA控制核心输出不同的相位、频率、波形等控制字信息给DDS芯片,经DDS芯片后输出所需波形。仿真表明,该信号源能够输出频率范围在0~12.5MHz的频率、相位可调的正弦波、三角波、方波等波形信号,具有一定的通用性。  相似文献   

13.
基于SOPC的DDS信号源的实现   总被引:2,自引:0,他引:2  
本文介绍了直接数字频率合成(DDS)的工作原理以及基于可编程片上系统(SOPC)实现DDS信号源。设计的DDS信号源以Cyclone器件为核心,用嵌入在FPGA中的N ios软核CPU作为控制来实现频率、相位和幅度的数字预制和步进,利用FPGA的RAM位放置正弦查找表,同时利用FPGA的逻辑单元实现相位累加等其它数字逻辑功能。实现了两路相位完全正交的DDS信号源。  相似文献   

14.
提出了一种直接基于DDS芯片AD9851的信号源的设计方法.介绍了DDS模块的设计,并给出了DDS与FPGA接口电路、DDS信号互补输出电路、DDS七阶低通椭圆滤波电路、DDS信号缓冲放大电路、DDS晶振电路.通过FPGA控制DDS并直接向DDS发送频率控制字,产生常见的正弦波、方波,并实现了频率与相位可调.  相似文献   

15.
王嘉成  于鹏 《电子科技》2013,26(10):128-130,135
介绍了以FPGA为核心器件,采用Verilog HDL作为硬件描述语言的移相信号发生器的设计。该移相信号发生器以DDS模型作为基本原理,利用FPGA的嵌入式存储器块作为波形数据的存储单元,最终通过D/A转换单元可输出正弦波、三角波、方波等任意波形的同频率原始参考信号和移相信号两路波形,除D/A转换器及相关电路外,所有功能电路模块均集中在一片FPGA中实现。与传统移相信号发生器相比,该设计的频率分辨度高、信号频谱良好、易于实现且成本低廉。  相似文献   

16.
基于FPGA的DDS设计及实现   总被引:1,自引:0,他引:1  
针对DDS频率转换时间短,分辨率高等优点,提出了基于FPGA芯片设计DDS系统的方案。该方案利用Altera公司的QuartusⅡ开发软件,完成DDS核心部分即相位累加器和ROM查找表的设计,可得到相位连续、频率可变的信号,并通过单片机配置FPGA的E^2 PROM完成对DDS硬件的下栽,最后完成每个模块与系统的时序仿真。经过电路设计和模块仿真,验证了设计的正确性。由于FPGA的可编程性,使得修改和优化DDS的功能非常快捷。  相似文献   

17.
基于FPGA和DDS的数控信号源的设计与实现   总被引:1,自引:0,他引:1  
以FPGA为核心,根据DDS原理设计数控信号源,采用VHDL语言实现各功能模块。该信号源可输出正弦波、方波和三角波,输出信号的频率以数控方式调节,幅度连续可调。与传统信号源相比,该信号源具有波形质量好、精度高、设计方案简洁、易于实现、便于扩展与维护的特点。  相似文献   

18.
习莹冰  杨健 《电子器件》2011,34(2):199-201
在分析了DDS其杂散来源及已有抑制方法的基础上,提出了一种新的有效抑制杂散的方法,经三角函数变换,将通常被舍掉的相位累加器输出的低B位利用起来.在Simulink中进行了仿真,结果显示在相位累加器位宽为32 bit,查找表寻址位数为12 bit时,较之未经优化的DDS,其杂散改善了60 dB.用Verilog语言设计实...  相似文献   

19.
井新宇 《电子工程师》2004,30(8):21-24,39
介绍应用CPU和现场可编程逻辑阵列(FPGA)/复杂可编程逻辑器件(CPLD)结合设计电子系统的优势.基于AT89C51单片机系统实现FLEK10K的在线可重配置(ICR),PC机和AT89C51串行通信实现在线升级,PC机下载配置实现在线调试.采用直接数字频率合成(DDS)技术,实现波形发生器.应用电子设计自动化(EDA)技术,以FPGA/CPLD器件为核心,采用FPGA设计的DDS不仅可方便地实现各种比较复杂的调频、调相和调幅功能,而且具有良好的实用性.文中给出了系统的工作原理和设计方法.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号