首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 312 毫秒
1.
This paper reports the highest etch depth of annealed Pyrex glass achieved by wet etching in highly concentrated HF solution, using a low stress chromium–gold with assistance of photoresist as masking layer. The strategies to achieve that are: increasing the etch rate of glass and simultaneously increasing the resistance of Cr/Au mask in the etchant. By annealing the Pyrex glass and using a highly concentrated HF acid, a high etch rate can be obtained. Furthermore, a method to achieve a good resistance of the Cr/Au masking layer in the etching solution is to control the residual stress and to increase the thickness of Au deposition up to 1 μm. In addition, the presence of a hard baked photoresist can improve the etching performance. As a result, a 500-μm thick Pyrex glass wafer was etched through.  相似文献   

2.
This paper establishes the strategies for deep wet etching of one of the most common glasses: Pyrex. There are two way for increasing the etch depth: increasing the etch rate or increasing the resistance of the mask in the etching solution. The paper analyzes the methods for increasing the glass etch rate in HF solutions: annealing, concentration, ultrasonic agitation and temperature. The generation of the defects is investigated. The main factors that affect the degradation of the mask are: type, value and gradient of the residual stress and the hydrophilicity of the surface. Cr/Au mask is used for illustration. A new method for deep wet etching of glass using Cr/Au mask and photoresist is established. The result of this method is the best thus far as reported in the literature: 85 min deep wet etching in HF 49% which is equivalent to etching of more than 500 μm deep in the Pyrex glass material.  相似文献   

3.
玻璃湿法深刻蚀掩模常采用低压化学气相沉积(LPCVD)多晶硅、Cr/Au金属层+光刻胶等,但往往会在玻璃中引入应力,影响后期应用(如阳极键合),而且Cr/Au金属层价格昂贵。为避免以上缺点,引入了SX AR—PC 5000/40保护胶+WBR2075干膜作为玻璃的刻蚀掩模,在HF︰NH4F,HF︰HCl,HF︰HCl︰NH4F刻蚀溶液中进行了大量实验。实验结果表明:SX AR—PC 5000/40抗腐蚀能力强,且成功实现了对Pyrex 7740玻璃131μm的深刻蚀。整个工艺过程与IC工艺兼容,可以进行圆片级批量加工。实验结果对圆片级封装和其他MEMS器件的制作有一定参考作用。  相似文献   

4.
This paper presents a simple, low-cost, and reliable process for the fabrication of a microfluidic Fabry–PÉrot cavity in a Pyrex glass substrate. The microfluidic channels were etched in HF solution on a glass substrate using a Cr/Au/photoresist etching mask resulting in a channel bottom roughness of 1.309 nm. An effective thermocompressive gold–gold bonding technique was used to bond the photolithographically etched glass substrates inside a 350$^circ C $oven in a$ 10^ - 3~ torr $vacuum. Pressure was applied to the glass pieces by using two aluminum blocks with intermediate copper sheets. This method takes advantage of using Cr/Au layers both as a wet etching mask and as intermediate bonding layers, requiring only one lithography step for the entire process. The fabrication method is also compatible with the incorporation of dielectric mirror coatings in the channels to form a high-finesse Fabry–PÉrot cavity. A parallelism of 0.095 degrees was measured, and a finesse as high as 30 was obtained using an LED. The microfluidic cavity developed here can be used in electrophoresis and intracavity spectroscopy experiments.hfillhbox[1375]  相似文献   

5.
In this paper, we report the use of a single masking film for deep glass etching in hydrofluoric acid (HF). Thin film silver (Ag) is the key masking material in this work enabling a simple and low cost fabrication of microfluidic structures. The Ag film was deposited by evaporation and etched in a diluted nitric acid and de-ionized water solution at a ratio of 1:3. Surface morphology for different thicknesses of Ag film and its correlation to the maximum achievable etch depth is analyzed. AFM results shows low roughness values (<5 nm), indicating the Ag films are of smooth surface. With a 100 nm Ag film, a 220 μm etch depth in borosilicate glass substrates were produced and by further thickening the Ag to 300 nm, etch depths exceeding 300 μm were successfully achieved. SEM images show that thinner Ag films are of finer grains, potentially a source for pinholes formation where rapid penetration of HF along the grain boundaries peels off the Ag film from the glass surface. However, the Ag film was found not to react with HF. The process was demonstrated in the fabrication of cavities for integration with other microfluidic devices.  相似文献   

6.
In this paper, we present a simple, rapid, and low-cost procedure for fabricating glass microfluidic chips. This procedure uses commercially available microscopic slides as substrates and a thin layer of AZ 4620 positive photoresist (PR) as an etch mask for fabricating glass microfluidic components, rather than using expensive quartz glasses or Pyrex glasses as substrates and depositing an expensive metal or polysilicon/amorphous silicon layer as etch masks in conventional method. A long hard-baking process is proposed to realize the durable PR mask capable of withstanding a long etching process. In order to remove precipitated particles generated during the etching process, a new recipe of buffered oxide etching with addition of 20% HCl is also reported. A smooth surface microchannel with a depth of more than 110 mum is achieved after 2 h of etching. Meanwhile, a simple, fast, but reliable bonding process based on UV-curable glue has been developed which takes only 10 min to accomplish the efficient sealing of glass chips. The result shows that a high bonding yield (~ 100%) can be easily achieved without the requirement of clean room facilities and programmed high-temperature furnaces. The presented simple fabrication process is suitable for fast prototyping and manufacturing disposable microfluidic devices.  相似文献   

7.
We processed a precise relief structure on the surface of a glass-like carbon (GC) substrate by applying micro-electro-mechanical-systems (MEMS) technologies, and made a high temperature resistant mold for thermal imprinting on glass materials. An attractive feature of GC is its chemical stability at high temperatures (above 1,000 °C). The down side is its brittleness that makes microfabrication with GC a difficult task. We investigated to find if photolithography combined with reactive-ion-etching (RIE), which are generally used in MEMS fabrication, could be applied for the fabrication of GC molds. In our work with the RIE process, we made masking layers using Au and a positive-tone photoresist. By taking advantage of the difference between the etching rates of the masking materials and GC, we fabricated convex mold patterns with vertical and curved sidewalls. From the experimental results imprinted on Pyrex glass and on quartz, the practicability of using both kinds of GC molds appeared to be quite promising. We believe that in the near future these techniques will be successfully applied in the fabrication of large-size GC molds.  相似文献   

8.
This paper presents a simple method to produce microfluidic channels in soda-lime glasses with the aspect ratio >0.5 utilizing a modified wet etching protocol. A low-cost positive photoresist (PR) layer is used as the etching mask for the wet etching process. Prior to the PR and primer coating procedure, a UV activation process is adopted for enhancing the binding strength of the hexamethyldisilazane primer layer and the glass substrate, resulting in an better adhesion for the PR layer. A fast etching recipe is also developed by increasing the acidity and the temperature of the buffered oxide (BOE) etchant. Since the photoresist etching mask does not peel during the etching process shortly, the structure of the etching mask forms a barrier and results in a different diffusion rate for the etchant inside the etched trench structure. A slower etching rate for the glass is observed at the undercut region such that the proposed anisotropic etching pattern can be achieved. Results show that the etching rate of the modified glass etching process is as high as 7.7 μm/min which is much faster than that of pure BOE etchant (0.96 μm/min). Sealed microfluidic channel with the aspect ratio of around 0.62 is produced with the developed method. The method developed in the present study provides a rapid and efficient way to produce microfluidic channels with higher aspect ratio.  相似文献   

9.
Dutta  Shankar  Imran  Md  Kumar  P.  Pal  R.  Datta  P.  Chatterjee  R. 《Microsystem Technologies》2011,17(10):1621-1628

Bulk micromachining in Si (110) wafer is an essential process for fabricating vertical microstructures by wet chemical etching. We compared the anisotropic etching properties of potassium hydroxide (KOH), tetra-methyl ammonium hydroxide (TMAH) and ethylene di-amine pyro-catechol (EDP) solutions. A series of etching experiments have been carried out using different etchant concentration and temperatures. Etching at elevated temperatures was found to improve the surface quality as well as shorten the etching time in all the etchants. At 120°C, we get a smooth surface (Ra = 21.2 nm) with an etching rate 12.2 μm/min in 40wt% KOH solution. At 125°C, EDP solution (88wt%) was found to produce smoothest surface (Ra = 9.4 nm) with an etch rate of 1.8 μm/min. In TMAH solution (25wt%), the best surface roughness was found to be 35.6 nm (Ra) at 90°C with an etch rate of 1.18 μm/min. The activation energy and pre-exponential factor in Arrhenius relation are also estimated from the corresponding etch rate data.

  相似文献   

10.
The evaporated metal masks for chemical glass etching for BioMEMS   总被引:2,自引:0,他引:2  
The technological aspects of manufacturing three dimensional microstructures for BioMEMS in glass wafers are demonstrated and discussed. The microchannels have been etched in Pyrex glass to a depth of 6–16 m. Special attention has been paid to the composition and the optimization of the deposition process of the thin film sacrificial metal layers as etch masks for chemical wet etching of glass, and to the influence of the composition of the etch solution on glass etch velocity, undercut phenomenon and the quality of the structures. The structures have been visualized by means of REM to observe the profile and the edge roughness.We thank Prof. M. Koudelka-Hep for her kind invitation to visit the Institute of Microtechnology (IMT) of the University of Neuchatel, Switzerland, and helpful discussions, and Prof. Yu. Ermolenko for the advices and discussions.  相似文献   

11.
Buffered hydrofluoric (BHF) acid was used in a TFT manufacturing process as a typical wet chemical agent. Hazing of an LCD glass substrate surface was sometimes observed after BHF chemical treatment during manufacturing. The haze consists of many micro‐sized hillocks on the substrate surface. This paper describes the formation and suppression mechanism of a typical LCD glass substrate made of Corning code 1737 glass. The hillocks were observed on an etched glass surface when NH4F was added with HF as the buffered solution. Among the reaction products, ammonium‐based crystals were partially soluble in the etching solution. These ammonium‐based crystals were formed during BHF etching by masking an area of glass surface until the crystals were dissolved in the etching solution. In addition, hillocks composed of glass substrate material were detected on the masked area. The hillock density contour as the function of HF and NH4F concentration was drawn for an etching rate of from about 0.03 to 0.13 μm/min. Hazing was effectively suppressed by dilution or agitation of BHF.  相似文献   

12.
A novel thin film (micrometer thickness) shape memory alloy (SMA) micro actuator is presented in this paper. The thin film SMA with composition of approximately 50:50 nickel titanium (NiTi) is sputter-deposited onto a silicon wafer in an ultra high vacuum system. Transformation temperatures of the NiTi film are determined by measuring the residual stress as a function of temperature. The transformation temperature is independent of the presence of chromium (Cr) used as an adhesion layer, or being exposed to air before annealing. A mixture of hydrofluoric acid (HF), nitric acid (HNO3) and deionized (DI) water is used to etch the film. Different etch masks are evaluated to protect the NiTi film during the etching. Among the masks tested, a thick photoresist (AZ-4620) produces the best result. The NiTi membrane is hot-shaped into a three-dimensional (3-D) dome shape using a stainless-steel jig. Results indicate the membrane exhibits two-way effect. The performance of the SMA micro actuator is characterized with a laser measurement system for deflection versus input power and frequency response  相似文献   

13.
石英湿法腐蚀及侧壁晶棱修平工艺研究   总被引:1,自引:0,他引:1  
以石英陀螺的微结构为研究对象,对石英的湿法腐蚀规律进行研究.选用500 μn厚Z切向石英片,蒸镀10 nm厚Cr膜和200 nm厚金膜作为掩模层,选用40%氢氟酸和40%氟化铵的1:1混合溶液作为腐蚀液.通过在不同温度下的腐蚀试验,表明腐蚀速率随温度增加而增大,温度过低腐蚀过慢影响腐蚀效率,温度过高使石英侧壁表面粗糙度增加.经过试验摸索,在70℃下腐蚀,可获得表面质量较好的石英微结构.石英在湿法腐蚀中结构侧壁会产生两级晶棱,根据侧壁主要晶面的腐蚀速率,计算出修平侧壁两级晶棱所需时间分别为8h和27h,经过试验验证,在预计时间内,石英侧壁晶棱基本修平.  相似文献   

14.
Etch rates for micromachining processing-Part II   总被引:2,自引:0,他引:2  
Samples of 53 materials that are used or potentially can be used or in the fabrication of microelectromechanical systems and integrated circuits were prepared: single-crystal silicon with two doping levels, polycrystalline silicon with two doping levels, polycrystalline germanium, polycrystalline SiGe, graphite, fused quartz, Pyrex 7740, nine other preparations of silicon dioxide, four preparations of silicon nitride, sapphire, two preparations of aluminum oxide, aluminum, Al/2%Si, titanium, vanadium, niobium, two preparations of tantalum, two preparations of chromium, Cr on Au, molybdenum, tungsten, nickel, palladium, platinum, copper, silver, gold, 10 Ti/90 W, 80 Ni/20 Cr, TiN, four types of photoresist, resist pen, Parylene-C, and spin-on polyimide. Selected samples were etched in 35 different etches: isotropic silicon etchant, potassium hydroxide, 10:1 HF, 5:1 BHF, Pad Etch 4, hot phosphoric acid, Aluminum Etchant Type A, titanium wet etchant, CR-7 chromium etchant, CR-14 chromium etchant, molybdenum etchant, warm hydrogen peroxide, Copper Etchant Type CE-200, Copper Etchant APS 100, dilute aqua regia, AU-5 gold etchant, Nichrome Etchant TFN, hot sulfuric+phosphoric acids, Piranha, Microstrip 2001, acetone, methanol, isopropanol, xenon difluoride, HF+H/sub 2/O vapor, oxygen plasma, two deep reactive ion etch recipes with two different types of wafer clamping, SF/sub 6/ plasma, SF/sub 6/+O/sub 2/ plasma, CF/sub 4/ plasma, CF/sub 4/+O/sub 2/ plasma, and argon ion milling. The etch rates of 620 combinations of these were measured. The etch rates of thermal oxide in different dilutions of HF and BHF are also reported. Sample preparation and information about the etches is given.  相似文献   

15.
玻璃是制作微流控芯片的重要材料,其加工工艺主要基于光刻后湿法腐蚀,对设备和实验室要求较高.本文提出以普通指甲油和指甲油/金/铬为牺牲层,利用CO2激光烧蚀开窗口,辅以湿法腐蚀加工玻璃基微流控芯片的方法,并考察了激光加工参数,腐蚀液组成,牺牲层等因素对芯片质量的影响.该方法简便易行,不需要光刻的昂贵设备和繁杂步骤.  相似文献   

16.
Fabrication of polymer micro-tips using SU-8 negative photoresist for bio-applications is reported. The SU-8 processing technology and isotropic glass etching process have been developed and utilized to fabricate micro-tips on glass substrate by applying optical lensing effect during photolithography. Experimentally, micro-tips of 25?μm base diameter, ~1?μm tip diameter, and ~250?μm height, have been demonstrated.  相似文献   

17.
Abstract— To overcome the “pseudo‐puddling effect” in a low‐angle‐tilt transfer system with an oversized glass substrate over 2 m, a vertical transfer is suggested. The aim of the present work is to study the wet‐etching behavior of an aluminum/molybdenum double layer deposited on the glass substrate in a vertical transfer wet etching system and compare it with a typical 5°‐tilt‐transfer system. Compared with the tilt‐transfer wet station, the vertical etching system has three advantages, namely, 50% space savings, higher throughput due to the high etch rate, and good etch uniformity over the entire glass for thin‐film‐transistor application. The computational fluid‐dynamics analysis is used to predict the change of the etch uniformity as a function of the tilt angle of the glass substrate.  相似文献   

18.
以SF6/Ar为刻蚀气体,采用感应耦合等离子体(ICP)刻蚀Pyrex玻璃,研究气体流量、射频功率对刻蚀速率及刻蚀面粗糙度的影响.采用正交实验方法找出优化的实验参数,得到Pyrex玻璃刻蚀速率为106.8 nm/min,表面粗糙度为Ra=5.483 nm,实验发现增加自偏压是提高刻蚀速率、减小刻蚀面粗糙度的有效方法.  相似文献   

19.
Through glass via (TGV) technology is considered to be a cost effective enabler for the integration of micro electromechanical systems and radio frequency devices. Inductively coupled plasma and Bosch etching process comprise one of the most pervasive methods for through silicon via (TSV) formation. Unfortunately an equivalent process for glass etching remains elusive. In this paper, the influence of plasma etching for fused silica glass were investigated to find the best tradeoff between etch rate and profile of TGVs. The process parameters including bias power, gas flow rate, ratio of etching gases and reaction chamber pressure using Ar/C4F8 inductively coupled plasmas were studied. The etching results show that all these three parameters have a significant impact on the etch rate. Furthermore, the adjustment including total flow rate and ratio of Ar/C4F8 and chamber pressure can be used to control the via profile. Constant fused silica glass etch rate greater than 1 μm/min was obtained when chiller temperature was 40 °C with etching time of 60 min. The profile angle of TGVs with nearly 90° was also achieved.  相似文献   

20.
A micromachined electrostatically suspended gyroscope, with a wheel-like rotor housed by top stator and bottom stator, using UV-LIGA microfabrication technology, was presented. The designed structure and basic operating principle of the gyroscope are described. The key steps in the fabrication process, such as wet etching of Pyrex glass pits for soldering, and integration of thick nickel structures by removal of SU-8 mold, were considered in detail and well solved. Cr/Pt/photoresist was used as etching mask and the etched pits, in depth of near 30 μm, with aspect ratio (depth to undercutting) of 0.75, were obtained. With metal foundations constructed for consolidation, successful integration of the nickel structures, in thickness of 200 μm, was achieved by successful removal of the SU-8 mold using oleum. After the two stators and the rotor were fabricated separately, they were assembled and soldering bonded to form axial and radial small gaps, hence, the initial prototype of the microgyroscope was realized. The key techniques described in this paper can be applied to fabrication of other micro devices. The metal foundation method, associated with removal of SU-8 mold by oleum, is expected to make SU-8 wider applications in making integrated microstructures with fabricated circuitry on the same chip.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号