首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Some of the recent developments in the automated design and analysis of digital systems are reviewed. Two new areas alluded to are centralized data base systems for design automation and interactive graphic computer-aided design. The areas of gate level simulation, synthesis, partitioning, interconnection, and fault test generation are dealt with in more detail. New algorithms in each of these areas are presented and compared, and a few important unsolved problems are mentioned. Some of the systems, techniques, and/or algorithms discussed are: A. Gate level simulation; 1) fault list propagation, 2) three-valued simulation. B. Synthesis; 1) register transfer level, 2) logic gate level. C. Partitioning; 1) clustering algorithms, 2) functional partitioning via simulation. D. Interconnect; 1) path seeking algorithms, 2) Steiner's problem. E. Fault test generation; 1) D algorithm, 2) Boolean difference, 3) equivalent normal form, 4) extensions to sequential circuits.  相似文献   

2.
While in the digital domain, test development is primarily conducted with the use of automated tools, knowledge-based, ad hoc test methods have been in use in the analog domain. High levels of design integration and increasing complexity of analog blocks within a system necessitate automated system-level analog test development tools. We outline a methodology for specification-based automated test generation and fault simulation for analog circuits. Test generation is targeted at providing the highest coverage for each specified parameter. The flexibility of assigning analog test attributes is utilized for merging tests leading to test time reduction with no loss in test coverage. Further optimization in test time is obtained through fault simulations by selecting tests that provide adequate coverage in terms of several components and dropping the ones that do not provide additional coverage. A system-level test set target in the given set of specifications, along with fault and yield coverages in terms of each targeted parameter, and testability problems are determined through the proposed methodology.  相似文献   

3.
Despite great advances in the area of Formal Verification during the last ten years, simulation is currently the primary means for performing design verification. The definition of an accurate and pragmatic measure for the coverage achieved by a suite of simulation vectors and the related problem of coverage directed automatic test generation are of great importance. In this paper we introduce a new set of metrics, called the Event Sequence Coverage Metrics (ESCMs). Our approach is based on a simple and automatic method to extract the control flow of a circuit so that the resulting state space can be explored for validation coverage analysis and automatic test generation. During simulation we monitor, in addition to state and transition coverage, whether certain control event sequences take place or not. We then combine formal verification techniques, using BDDs as the underlying representation, with traditional ATPG and behavioral test generation techniques to automatically generate additional sequences which traverse uncovered parts of the control state graph, or exercise an uninstantiated control event sequence.  相似文献   

4.
5.
6.
7.
Unified Modeling Language (UML) is widely used as a system level specification language in embedded system design. Due to the increasing complexity of embedded systems, the analysis and validation of UML specifications is becoming a challenge. UML activity diagram is promising to modeling the overall system behavior. However, lack of techniques for automated test case generation is one major bottleneck in the UML activity diagram validation. This article presents a methodology for automatically generating test cases based on various model checking techniques. It makes three primary contributions: First, we propose coverage-driven mapping rules that can automatically translate activity diagram to formal models. Next, we present a procedure for automatic property generation according to error models. Finally, we apply various model checking based test case generation techniques to enable efficient test case generation. Our experimental results demonstrate that our approach can reduce the validation effort drastically by reducing both test case generation time and required number of test cases to achieve a functional coverage goal.  相似文献   

8.
9.
微处理器的功能验证成为设计验证的瓶颈,指令集的组合验证对流水线处理器具有重要的意义。Leon2流水线相关验证找出了流水线相关的测试向量集,实现了测试程序的自动生成,构造自动化程度较高的验证平台。与指令随机组合测试的方法相比,有针对性地验证了引起流水线相关的情况,同时测试程序达到了较高的流水线状态覆盖率。  相似文献   

10.
Device scaling has led to the blurring of the boundary between design and test: marginalities introduced by design tool approximations can cause failures when aggressive designs are subjected to process variation. Larger die sizes are more vulnerable to intra-die variations, invalidating analyses based on a number of given process corners. These trends are eroding the predictability of test quality based on stuck-at fault coverage. Industry studies have shown that an at-speed functional test with poor stuck-at fault coverage can be a better DPM screen than a set of scan tests with very high stuck-at fault coverage. Contrary to conventional wisdom, we have observed that a high stuck-at fault test set is not necessarily good at detecting faults that model actual failure mechanisms. One approach to address the test quality crisis is to rethink the fault model that is at the core of these tests. Targeting realistic fault models is a challenge that spans the design, test and manufacturing domains: the extraction of realistic faults has to analyze the design at the physical and circuit levels of abstraction while taking into account the failure modes observed during manufacture. Practical fault models need to be defined that adequately model failing behavior while remaining amenable to automatic test generation. The addition of these fault models place increasing performance and capacity demands on already stressed test generation and fault simulation tools. A new generation of analysis and test generation tools is needed to address the challenge of defect-based test. We provide a detailed discussion of process technology trends that are responsible for next generation test problems, and present a test automation infrastructure being developed at Intel to meet the challenge.  相似文献   

11.
During the design of large technical systems, the use of analytic and simulative models to test and dimension the system before implementation is of practical importance for an efficient and reliable design process. However, setting up the necessary models is time-consuming and therefore often too expensive in practice. Usually most information for modeling is already available in the design tool used to develop such extensive systems and only needs to be extracted for automatic model building. This paper presents an automated modeling approach from an existing design database using the example of a network analysis for building automation fieldbuses. The analysis is based on an analytical decomposition approach that enables fast estimation of performance measures for large-scale networks. The combination of fast analytical algorithms with automatic model generation allows network performance engineering with minimized effort for model generation and analysis.  相似文献   

12.
王燕 《通信技术》2020,(3):776-780
数字中频芯片通常间接地采取matlab的方式进行datapath滤波器等功能的设计和验证。在此基础上直接对数字中频RTL代码进行仿真验证研究,分别从单音、宽带、delay测试等方面进行阐述,结合快速傅立叶变换,综合运用python脚本工具分析结果。研究结果表明,相对于间接采用matlab仿真,直接的RTL代码仿真不仅能实现同样的测试功能,而且可以更好地提升代码覆盖率和功能覆盖率,进一步提升了验证质量。  相似文献   

13.
The numerical integration of partial differential equations (PDEs) resulting from passive physical problems can be performed by simulation of the actual system with multidimensional (MD) passive wave digital filters. Due to the principle of action at proximity, physical systems are usually massively parallel and only locally connected. Beyond these properties, the wave digital filters are well-known for their excellent numerical stability behavior and their high robustness. The new result of this paper is a synthesis procedure for automatic generation of the algorithms, based on MD wave digital filters for the numerical integration of PDEs describing linear hyperbolic passive systems. The proposed procedure permits a fully automated software development, based on the given partial differential equation.  相似文献   

14.
Deterministic Built-in Pattern Generation for Sequential Circuits   总被引:1,自引:0,他引:1  
We present a new pattern generation approach for deterministic built-in self testing (BIST) of sequential circuits. Our approach is based on precomputed test sequences, and is especially suited to sequential circuits that contain a large number of flip-flops but relatively few controllable primary inputs. Such circuits, often encountered as embedded cores and as filters for digital signal processing, are difficult to test and require long test sequences. We show that statistical encoding of precomputed test sequences can be combined with low-cost pattern decoding to provide deterministic BIST with practical levels of overhead. Optimal Huffman codes and near-optimal Comma codes are especially useful for test set encoding. This approach exploits recent advances in automatic test pattern generation for sequential circuits and, unlike other BIST schemes, does not require access to a gate-level model of the circuit under test. It can be easily automated and integrated with design automation tools. Experimental results for the ISCAS 89 benchmark circuits show that the proposed method provides higher fault coverage than pseudorandom testing with shorter test application time and low to moderate hardware overhead.  相似文献   

15.
Models meant for logic verification and simulation are often used for Automatic Test Pattern Generation (ATPG). For custom digital circuits, these models contain many tristate devices that tend to lower coverage for stuck-faults. Additionally, these tristate devices contribute to increased ATPG runtimes, fewer generated test sequences, and an overall lower test quality. The circuit under test is partitioned into channel connected sub-networks (CCSN) that consist of transistors that are connected at their source or drain terminals, except when these terminals are power, ground or primary inputs. Unlike other published work, algorithms presented in this paper analyze each CCSN in the context of its environment, thereby capturing the logical relationships among its input signals. Other algorithms presented include identification and modeling of embedded latches, clock generators and memory circuits. An abstract array model for memory that reduces the size of the model and increases simulation speed is also presented. When one specific feature of the algorithm was disabled, experimental results showed higher ATPG runtimes of about 35%, and an average decrease in fault coverage of around 15–20%. For the largest data cache, the memory modeling algorithm decreased the number of primitives from 1.23 million to 139 thousand.  相似文献   

16.
Discrete simulation of digital circuits is a vital tool in the design process. However, few people are aware of the modeling assumptions inherent in discrete simulation. Nor is there a widely accepted and consistent theory of modeling and simulation of discrete/digital systems. In this paper we concentrate on the basic ideas behind discrete modeling and present a discussion of the most popular algorithms used in writing simulators. In addition, we use the characteristics of discrete models to define the logic, functional, and behavioral levels of simulation. In closing, we discuss new issues in modeling and simulation.  相似文献   

17.
李艳龙  杨琪  王雪峰 《红外技术》2020,42(12):1192-1197
为实现红外图像坏元修正FPGA(field programmable gate array)的快速验证,提高测试覆盖性,设计了基于SV-DPI(SystemVerilog-direct programming interface)的FPGA自动化验证平台。采用DPI(direct programming interface)编程接口技术,实现了SystemVerilog平台调用C++编程语言,构建了针对红外图像坏元数据的生成和检测修正模型,建立了两种语言在事务级(transaction level)模型的通信。结果表明相对于传统验证方法,该平台结构简单,可以快速实现激励产生、参考模型构建、测试结果自动比对等功能,实现了红外图像坏元检测与修正FPGA的自动化测试,功能覆盖率达到100%,有效缩短FPGA测试平台搭建和调试周期,提高了测试效率和测试质量。  相似文献   

18.
19.
Current test generation algorithms for path delay faults assume a variable-clock methodology for test application. Two-vector test sequences assume that the combinational logic reaches a steady state following the first vector before the second vector is applied. While such tests may be acceptable for combinational circuits, their use for nonscan sequential circuit testing is impractical. A rated-clock path delay simulator shows a large drop in coverage for vectors obtained from existing test generators that assume a variable clock. A new test generation algorithm provides valid tests for uniform rated-clock test application. In this algorithm, signals are represented for three-vector sequences. The test generation procedure activates a target path from input to output using the three-vector algebra. For an effective backward justification, we derive an optimal 41-valued algebra. This is the first time, rated-clock tests for large circuits are obtained. Results for ISCAS-89 benchmarks show that rated-clock tests cover some longest, or close to longest, paths  相似文献   

20.
The design verification of state-of-the-art high-performance microprocessors has become a significant challenge for test engineers. Deep pipelines, multiple execution units, out-of-order and speculative execution techniques, typically found in such microprocessors, contribute much to this complexity. Conventional methods, which treat the processor as a logic state machine or apply architectural level tests, fail to provide coverage of all possible corner cases in the design. This paper presents a functional verification method for modern microprocessors, which is based on innovative models of the microprocessor architecture, intended to cover the testing of all corner cases. In order to test the models presented in this work, an architecture independent coverage measurement system has been developed. The models were tested with both random code and real world applications in order to determine which of the two achieves higher coverage.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号