首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A novel CVD copper process is described using two new copper CVD precursors, KI3 and KI5, for the fabrication of IC or TSV (Through Silicon Via) copper interconnects. The highly conformal CVD copper can provide seed layers for subsequent copper electroplating or can be used to directly fabricate the interconnect in one step. These new precursors are thermally stable yet chemically reactive under CVD conditions, growing copper films of exceptionally high purity at high growth rates. Their thermal stability can allow for elevated evaporation temperatures to generate the high precursor vapor pressures needed for deep penetration into high aspect ratio TSV vias. Using formic acid vapor as a reducing gas with KI5, copper films of >99.99 atomic % purity were grown at 250 °C on titanium nitride at a growth rate of > 1500 Å/min. Using tantalum nitride coated TSV type wafers, ∼ 1700 Å of highly conformal copper was grown at 225 °C into 32 μm × 5 μm trenches with good adhesion. With ruthenium barriers we were able to grow copper at 125 °C at a rate of 20 Å/min to give a continuous ∼ 300 Å copper film. In this respect, rapid low temperature CVD copper growth offers an alternative to the long cycle times associated with copper ALD which can contribute to copper agglomeration occurring.  相似文献   

2.
The properties of low-k SiCOH film deposited by plasma-enhanced chemical vapor deposition using trimethylsilane are reported here. The deposition process was performed at different temperatures from 200 to 400 °C. The influence of deposition temperature on the films were characterized using Fourier transform infrared spectroscopy (FTIR) to understand its impact on the studied properties. The films were annealed at ∼450 °C in an inert ambient after deposition in all the cases. The deposition rate decreases with increase in deposition temperature. The refractive index of the films increases as a function of deposition temperature. From FTIR spectra, OH-related bonds were not detected in films even when deposited at 200 °C. The Si-CH3 bonds were detected in all the films and decreased monotonically from 200 to 400 °C. All deposition conditions studied resulted in films with dielectric constant less than 3, the lowest being ∼2.7 when deposited at 200 °C. All films exhibited good thermal stability.  相似文献   

3.
Films of chalcogenide Ge-Sb-Te materials were grown by pulsed liquid injection chemical vapor deposition (CVD) technique. Simple thermal CVD without additional process activation and CVD with remote activation of precursor decomposition process by a hot-wire were investigated and compared. Ge(NMe2)4, Sb(NMe2)3 and Te(i-Pr)2 precursors in a form of diluted solutions in toluene were used for depositions. Film composition was controlled by injection parameters, while the thickness was directly related with number of pulses. Hot-wire activated CVD process allows the growth of chalcogenide films of clearly better quality compared to films grown by standard thermal CVD. Uniform, smooth, crystalline Ge2Sb2Te5 films were grown at substrate/wire temperature 300 °C/550 °C and pressure ? 15 Torr, using nitrogen as a carrier gas, on Si, Si/SiO2, Si/Si3N4 and glass substrates. Forty to forty five nanometer thick films on Si/SiO2 substrates showed reversible electrical and optical phase switching behavior.  相似文献   

4.
This paper presents the Raman scattering characteristics of poly (polycrystalline) 3C-SiC thin films deposited on AlN buffer layer by atmospheric pressure chemical vapor deposition (APCVD) using hexamethyldisilane (MHDS) and carrier gases (Ar+H2). The Raman spectra of SiC films deposited on AlN layer of before and after annealing were investigated according to the growth temperature of 3C-SiC. Two strong Raman peaks, which mean that poly 3C-SiC admixed with nanoparticle graphite, were measured in them. The biaxial stress of poly 3C-SiC/AlN was calculated as 896 MPa from the Raman shifts of 3C-SiC deposited at 1180 °C on AlN of after annealing.  相似文献   

5.
Microcrystalline silicon carbide (μc-SiC) has several applications, such as solar cells, light-emitting diodes and as optical coating for solar cells. Hydrogenated amorphous silicon carbide (a-SiC:H) grows by plasma enhanced CVD, even under hydrogen diluted conditions. High concentration of atomic hydrogen from catalytic CVD could promote lower temperature growth of μc-SiC under the same conditions as a-SiC:H without plasma. In the present study, μc-SiC films have been successfully grown by catalytic CVD (hot-filament catalysis) from monomethylsilane and hydrogen on (1 0 0) silicon substrates maintained at 300 °C. The optimal chamber pressure is 1.0 Torr and the optimal concentration of monomethylsilane in the hydrogen carrier gas is 1%. FTIR spectra of films obtained under these conditions show strong Si-C peaks.  相似文献   

6.
Atomic Layer Deposition (ALD) was used for the deposition of tantalum oxide thin films in order to be integrated in microelectronic devices as barrier to copper diffusion. The influence of deposition temperature, number of cycles and precursor pulse time on the film growth was discussed. The conformity of thinnest deposited films was shown. Copper diffusion through ALD Ta2O5 thin films, 20 nm in thickness, was investigated, for three temperatures from 600 to 800 °C, using X-ray Photoelectron Spectroscopy. The failure of such films was detected after a thermal treatment at 700 °C.  相似文献   

7.
Multilayered silicon-germanium (SiGe) films consisting of alternating sublayers with different mechanical properties have been epitaxially deposited by an ultra-high vacuum chemical vapor deposition (UHV/CVD) system. We report engineering of the mechanical properties of SiGe multilayer films by a commercial nanoindenter. From annealing treatment, it consists of an ex situ thermal treatments in furnace (600 °C) and rapid thermal annealing (800 °C) system. Subsequent roughness and microstructure of SiGe multilayer films were characterized by means of atomic force microscope (AFM) and transmission electron microscopy (TEM).The annealing treatment not only produced misfit dislocations as a significant role in the critical pile-up event but also promoted hardness. The hardness of the films increased slightly and then gradually achieved a maximum value (from 12.6 ± 0.4 GPa to 14.2 ± 0.7 GPa) with increasing annealing temperature. This may be due to the relaxation effect from thermal annealing and is potential to provide the reliability behaviours to design periodical SiGe multilayer structure in further.  相似文献   

8.
This article reports on carbon nanotubes (CNT) grown on TiN/Cu stacks by plasma enhanced chemical vapor deposition (PECVD) at 450 °C. Ni catalyst was deposited by two techniques - physical vapor deposition (PVD) and electrochemical deposition (ECD). First, the influence of the catalyst thickness and the catalyst deposition technique on grown CNTs is investigated. Second, the enhancement of the CNTs growth by use of electrodeposited catalysts is emphasized.  相似文献   

9.
This investigation elucidates various tungsten (W) nucleation layers in different W-plug fill processes. Four W-plug nucleation layers are evaluated. They involve an atomic layer deposition (ALD) W nucleation with SiH4-base sequential nucleation layers, an ALD W nucleation with B2H6-base sequential nucleation layers, a conventional W chemical vapor deposition (CVD) nucleation layer, and a pulsed nucleation layer, respectively. Bulk deposition includes high pressures of 300 Torr and conventionally 90 Torr with hydrogen as a reductant of WF6. A scanning electron microscopic analysis of the ALD W nucleation layer is conducted; it is a thin, smooth and dense film, which enhances the bulk deposition grain growth to increase grain size with low resistivity. Electrical results for ALD W processes are comparable to those for conventional W process in general barrier process condition. However, as the W-plug fills process on the weak and thin metal organic chemical vapor deposited (MOCVD) TiN barrier is varied, the ALD W processes retain their original electrical resistance performance. Unlike ALD W processes, the conventional W CVD suffers from serious contact resistance opening and tail bits. Transmission electron microscope profiles reveal that the thin and dense B2H6-base sequential nucleation layers prevent WF6 molecular penetration through the TiN/Tix interface. Additionally, various W-plug fill processes are implemented in the tungsten damascene test vehicle, and the ALD B2H6-base sequential nucleation layers and subsequently formed bulk deposition at 300 Torr have lower resistance than under other conditions. The contact profile obtained using the transmission electron microscope reveals that the ALD B2H6-base W-plug has favorable fill-in capability for both 100 nm and 60 nm contact sizes. Their lower resistivity and thinner nucleation layer suit them in particular to implement at a contact size of 100 nm and smaller. The ALD B2H6-base sequential nucleation layers and subsequently formed bulk deposition at 300 Torr can be used in the next generation of W-plug fill process.  相似文献   

10.
The effects of SiGeSb heating layers, formed at room temperature by a sputtering method, on the performance of phase-change memory devices were investigated. The amount of Sb atoms in SiGeSb films was modified by changing sputtering power for a Sb target, and the resulting resistivities of the films ranged from 2.5 to 3.75 × 107 mΩ cm depending on Sb concentration. The reset current and the set pulse width of a phase-change memory device decreased with decreasing Sb concentration due to an increase of the electrical and thermal resistances. The SiGeSb heating layer, like a SiGe heating layer grown at 650 °C by a chemical vapor deposition (CVD) technique, resulted in lower programming current and higher speed than a conventional TiN heating layer. The sputtered SiGeSb film appears superior to the CVD SiGe film for integration with a CMOS process because of its low formation temperature.  相似文献   

11.
In this work, we report the preparation of phospho-silicate-glass (PSG) films using RF magnetron sputtering process and its application as a sacrificial layer in surface micromachining technology. For this purpose, a 76 mm diameter target of phosphorus-doped silicon dioxide was prepared by conventional solid-state reaction route using P2O5 and SiO2 powders. The PSG films were deposited in a RF (13.56 MHz) magnetron sputtering system at 200-300 W RF power, 10-20 mTorr pressure and 45 mm target-to-substrate spacing without external substrate heating. To confirm the presence of phosphorus in the deposited films, hot-probe test and sheet resistance measurements were performed on silicon wafers following deposition of PSG film and a drive-in step. As a final confirmatory test, a p-n diode was fabricated in a p-type Si wafer using the deposited film as a source of phosphorus diffusion. The phosphorus concentration in the target and the deposited film were analyzed using energy dispersive X-rays (EDAX) tool. The etch rate of the PSG film in buffered HF was measured to be about 30 times higher as compared to that of thermally grown SiO2 films. The application of RF sputtered PSG film as sacrificial layer in surface micromachining technology has been explored. To demonstrate the compatibility with MEMS process, micro-cantilevers and micro-bridges of silicon nitride were fabricated using RF sputtered PSG as a sacrificial layer in surface micromachining. It is envisaged that the lower deposition temperature in RF sputtering (<150 °C) compared to CVD process for PSG film preparation is advantageous, particularly for making MEMS on temperature sensitive substrates.  相似文献   

12.
The theoretical phase diagram describing the growth of SiO2 films from TEOS and N2O mixtures within the temperature range 500–1100°C and pressure 0.3 Torr has been obtained, minimizing the total Gibbs energy of the chemical system involved in the deposition. It was found that at temperatures up to 900°C and N2O/TEOS molar ratios up to approximately 7, the SiO2 films deposited contained carbon impurities. For higher N2O/TEOS molar ratios the obtained films are carbon free. SiO2 films were grown from TEOS/N2O mixtures in a conventional horizontal low pressure chemical vapor deposition reactor at temperatures of 710°C and 820°C and at a pressure of 0.3 Torr. These films were analyzed using X-ray photoelectron spectroscopy, Rutherford backscattering spectroscopy, atomic force microscopy and CV measurements taken on metal–insulator–semiconductor structures formed with the deposited films as insulators. It was found that the films contain carbon impurities the concentration of which decreases with the increase of N2O/TEOS molar ratio, in agreement with the results of the thermodynamic study. Carbon atoms were 90% bonded to other carbon atoms and only 10% to oxygen. It was found that the films are substoichiometric in oxygen with O/Si atomic ratios ranging between 1.95 and 1.80. The films were found to be positively charged, the charge increasing with N2O flow and decreasing with deposition temperature.  相似文献   

13.
The kinetics of ruthenium thin film deposition via the hydrogen assisted reduction of bis(2,2,6,6-tetramethyl-3,5-heptanedionato)(1,5-cyclooctadiene)ruthenium(II) [Ru(tmhd)2cod] in supercritical carbon dioxide was studied. Deposition temperature was varied from 240 °C to 280 °C and the apparent activation energy was determined to be 45.3 kJ/mol. Deposition rates up to 30 nm/min were attained. The growth rate dependence on precursor concentration between 0 and 0.2 wt.% in CO2 was studied at 260 °C using excess hydrogen. The results indicated first order deposition kinetics with respect to precursor at concentrations lower than 0.06 wt.% and zero order dependence at concentrations above 0.06 wt.%. The ability to access regimes of zero order growth kinetics is advantageous for conformal depositions in high aspect ratio features. Growth rate was second order with respect to hydrogen at concentrations less than 0.26 wt.% and zero order at higher concentrations. The reaction byproducts, cyclooctadiene and cyclooctene, both had negative first order effects on growth rate while cyclooctane had a small negative impact on film growth rate. The effect of reaction pressure on the growth rate was studied at a constant reaction temperature of 260 °C and pressures between 159 bar and 200 bar and found to have no significant effect on the growth rate.  相似文献   

14.
Hf-O-N and HfO2 thin films were evaluated as barrier layers for Hf-Ti-O metal oxide semiconductor capacitor structures. The films were processed by sequential pulsed laser deposition at 300 °C and ultra-violet ozone oxidation process at 500 °C. The as-deposited Hf-Ti-O films were polycrystalline in nature after oxidation at 500 °C and a fully crystallized (o)-HfTiO4 phase was formed upon high temperature annealing at 900 °C. The Hf-Ti-O films deposited on Hf-O-N barrier layer exhibited a higher dielectric constant than the films deposited on the HfO2 barrier layer. Leakage current densities lower than 5 × 10 A/cm2 were achieved with both barrier layers at a sub 20 Å equivalent oxide thickness.  相似文献   

15.
Amorphous cobalt-phosphorus alloy is grown on SiO2 and Cu by chemical vapor deposition from dicobaltoctacarbonyl and trimethylphosphine at 250 °C, 300 °C, and 350 °C. Film properties most relevant to adoption into back-end chip fabrication have been studied. Co(P) is poor in P and C near the Cu interface, relative to the free surface, and no evidence of copper phosphide formation during deposition is observed. Approximately 1.4 nm Co(P) is required for continuity on Cu, and the adhesion between the two materials is strong as measured by a scotch tape peel test, despite C incorporation in Co(P). Dissociation of trimethylphosphine into atomic P and C on the surface of Cu combined with the high reactivity of dicobaltoctacarbonyl on SiO2 result in poor selectivity for growth on Cu compared to SiO2.  相似文献   

16.
Copper chemical vapor deposition using Cu-hexafluoroacetylacetonate (hfac) trimethylvinylsilane (TMVS) as precursor was performed in a cold-wall low pressure chemical vapor deposition (CVD) reactor. The design and operation of the reactor are described. Copper deposition on thermal SiO2, W, and CoSi2 substrate surfaces was investigated over the temperature range of 160–300°C and pressure range of 10–1000 mTorr. The activation energies of Cu CVD were determined to be 13.33 and 11.54 kcal/mole for the W and CoSi2 substrates, respectively. The dependence of film resistivity, grain size, and growth rate on deposition pressure and temperature were also investigated. The film uniformity was found to be better than ten percent over a 4-inch diameter substrate. Experimental results also show that selective deposition can be achieved at a pressure of 10 mTorr within the temperature range of 160–200°C. In addition, hydrofluoric acid dipping was found to modify the SiO2 surface and influence the copper deposition on it.  相似文献   

17.
InP/In0.53Ga0.47As/InP sandwich structure grown by low pressure metalorganic chemical vapor deposition has been investigated, in order to assess the different heteroepitaxy schemes which are based on low temperature (LT) InP metamorphic buffer layer. Photoluminescence (PL) and high resolution X-ray diffraction (HRXRD) and scan probe microscope (SPM) have been carried out to characterize the heteroepitaxy samples. For the best optimum growth condition of 15 nm-thick LT InP buffer at the growth temperature of 450 °C, the full width at half maximum (FWHM) values of the HRXRD, the room-temperature PL were 512 arcsec and 51.7 meV, respectively and the root mean square of SPM is only 0.915 nm.  相似文献   

18.
Deposition of Ag films by direct liquid injection-metal organic chemical vapor deposition (DLI-MOCVD) was chosen because this preparation method allows precise control of precursor flow and prevents early decomposition of the precursor as compared to the bubbler-delivery. Silver(I)-2,2-dimethyl-6,6,7,7,8,8,8-heptafluoro-3,5-octanedionato-triethylphosphine [Ag(fod)(PEt3)] as the precursor for Ag CVD was studied, which is liquid at 30 °C. Ag films were grown on different substrates of SiO2/Si and TiN/Si. Argon and nitrogen/hydrogen carrier gas was used in a cold wall reactor at a pressure of 50–500 Pa with deposition temperature ranging between 220 °C and 350 °C. Ag films deposited on a TiN/Si diffusion barrier layer have favorable properties over films deposited on SiO2/Si substrate. At lower temperature (220 °C), film growth is essentially reaction-limited on SiO2 substrate. Significant dependence of the surface morphology on the deposition conditions exists in our experiments. According to XPS analysis pure Ag films are deposited by DLI-MOCVD at 250 °C by using argon as carrier gas.  相似文献   

19.
We investigated the microstructure and the stress of high-k Hf-Y-O thin films deposited by atomic layer deposition (ALD). These hafnium oxide based films with a thickness of 5-60 nm stabilized in crystal structure with yttrium oxide by alternating the Hf- or Y-containing metal precursor during deposition. The microstructure was investigated by XRD and TEM in dependence of substrate and deposition temperature. The film stress was monitored during thermal cycles up to 500 °C using the substrate curvature method on (1 0 0)-Si wafer material with or without 10 nm TiN bottom electrode as well as on fused silica. It was observed that crystallinity and phases are depending on deposition temperature and film thickness. During thermal treatment the films crystallize depending on deposition temperature, yttrium content and substrate material at different temperatures. Crystallization of the films depends strongly on yttrium content. The highest reduction of 720 MPa was observed for films deposited with a Hf:Y cycle ratio of 10:1 where 6.2% of all metal atoms are replaced by yttrium. These Hf-Y-O films also show the highest k-value of 29 and have the smallest thermal expansion coefficient mismatch to TiN electrodes. Therefore we conclude that Hf-Y-O films are candidates for application in next generations of microelectronic MIM-capacitor devices or metal gate transistor technology.  相似文献   

20.
ZnO thin films were grown by the pulsed laser deposition technique on c-plane sapphire substrates at a substrate temperature of 500 °C with 1×10−4 Torr ambient gas. After the deposition process, ZnO thin films were annealed at 1000 °C for 5 min under N2 or O2 ambient gas, respectively. In the X-ray patterns, the (0 0 2) peak of the annealed sample was shifted from that of the as-grown sample, which indicates a reduced lattice constant of about 1%. Even though the X-ray diffraction patterns in the samples annealed under O2 and N2 annealing gases were almost the same, photoluminescence spectra showed the generation of a shallow level with a few meV, and deep-level states were generated at Ev+0.594 eV. In addition, a defect state appeared at Ec−0.607 eV, which originated from hydrogen plasma irradiation on the ZnO sample.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号