首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 16 毫秒
1.
谢敏 《电子工程师》2005,31(6):43-45
双口RAM与常规RAM的最大区别是双口RAM具有两套独立的地址、数据和控制线,允许两个独立的CPU或控制器同时异步地访问存储单元,双口RAM由片内的仲裁逻辑来确定哪一侧的CPU可以访问内部RAM单元.IDT7132是2kB的标准双口RAM.文中重点介绍采用以自顶向下方法设计的基于CLD(复杂可编程逻辑器件)的大屏幕LED(发光二极管)显示系统中双口RAM的应用,并给出了系统设计方法及相关硬件电路.本设计中IDT7132双口RAM用来连接单片机信号处理模块和CPLD扫描模块.  相似文献   

2.
双口RAMIDT7009及其在双CPU系统中的应用   总被引:1,自引:0,他引:1  
本文介绍了一种基于双端口RAM与双CPU进行数据通信的接口实现,以及双CPU系统在LED点阵显示屏系统中的实际应用,给出了系统的硬件实现方案和相关软件的流程图,并针对双CPU对双口RAM的端口争用问题与解决方法做了详细讨论。系统采用了高速128K×8bits的双口静态RAM使双CPU共享数据区,实现了大容量数据的高速交换。该系统具有数据传输速度快、传输数据量大、传输数据稳定准确等特点。可用于对批量数据进行快速准确传送的场合。  相似文献   

3.
夏云非  黄凌 《电子世界》2014,(5):162-163
在双CPU系统中处理好两个CPU间的通信,是系统设计的关键。本文设计采用双口RAM实现ARM与DSP间通信,并利用中断逻辑解决端口争用问题,从实际运行情况看,完全满足双CPU系统中两个处理器间高效、实时、稳定的通信。  相似文献   

4.
给出双口RAM的结构.介绍双口RAM的忙逻辑,并主要介绍了在雷达终端的数据处理过程中两个CPU通过双口RAM进行数据的储存、交换和共享的设计原理和方法。  相似文献   

5.
介绍了双口RAM IDT7130的内部结构和工作原理,提出了一种以ARM7为平台,利用两个LPC2138微控制器和双口RAM设计CAN与PROFIBUS-DP网关的方案,介绍了利用双口RAM实现双CPU之间的通信的实用、高效的方法。这种设计方案对其他现场总线之间的网关设计具有重要的借鉴意义。  相似文献   

6.
采用双口RAM实现单片机与LON神经元芯片的通讯   总被引:2,自引:0,他引:2  
介绍了单片机与LON神经元芯片的接口方法 ,给出了采用双口RAM器件CY7C132为中间桥梁来完成单片机89C51与LONMC143150两个CPU之间进行数据通讯的实现方案。同时给出了通过双口RAM使单片机挂接到Lonworks现场总线控制系统的部分程序  相似文献   

7.
基于FPGA的双口RAM与PCI9052接口设计   总被引:2,自引:0,他引:2  
为了解决PCI9052和双口RAM之间读写时序不匹配的问题,本设计采用可编程器件来实现它们之间的接口电路。此电路可以使系统更加紧凑。核心逻辑部分采用有限状态机实现,使控制逻辑直观简单,提高了设计效率。通过仿真工具ModelSim Se对该接口电路进行了验证,得出的仿真波形符合要求。  相似文献   

8.
从对信息的高速处理的要求出发,结合较为流行的双口RAM,介绍了设计双CPU控制系统的方法及要点,并给出了关键部分的典型实现。  相似文献   

9.
文章给出了TMS320C6000 DSP通过EMIF接口与FPGA的片内接收模块进行数据通信的一个设计方案,DSP将处理完的数据通过EMIF接口传送到FPGA的片内接收模块双口RAM,双口RAM采用PING-PONG结构的设计。双口RAM完成对数据的接收。通过实验测试,该设计方案实现了数据的正确传输。  相似文献   

10.
基于FPGA的双口RAM实现及应用   总被引:4,自引:0,他引:4  
为了在高速采集时不丢失数据,在数据采集系统和CPU之间设置一个数据暂存区.介绍双口RAM的存储原理及其在数字系统中的应用.采用FPGA技术构造双口RAM,实现高速信号采集系统中的海量数据存储和时钟匹配.功能仿真验证该设计的正确性.该设计能减小电路设计的复杂性,增强设计的灵活性和资源的可配置性能,降低设计成本,缩短开发周期.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号