首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 265 毫秒
1.
Y2002-63195-252 0313551工业伺服系统中的低频机械共振的解决方法=Curesfor low-frequency mechanical resonance in industrial servosystems[会,英]/Ellis,G.& Gao.Z.Q.//2001 IEEEIndustry Applications Conference,Vol.1 of 4.—252~258(ME)Y2002-63279-8 0313552一个多语言合成和确认环境=A multi-lingual synthesisand verification envivonment[会,英]/Economakos,G.& Stergiou,S.//2001 IEEE Symposium on Digital Sys-  相似文献   

2.
针对传统教学中存在的问题,对C语言教学改革进行了探讨与实践.以此来提高C语言教学的质量,提高学生运用C语言分析问题、解决问题的能力.  相似文献   

3.
在嵌入式电子系统测试语言的研究设计过程中,需要对语言进行词法分析、语法分析和语义分析.采用基于ANTLR的LL(k)文法进行词法分析、语法分析和抽象语法树遍历时,针对LL(k)文法中不能用左递归和步长限制等问题而遇到的文法推导冲突,指出了可行的解决方案,并构建了无冲突的嵌入式电子系统的测试语言的词法分析和语法分析程序.  相似文献   

4.
说话人差异是影响语言辨识系统性能的一个重要方面.采用说话人聚类技术对训练数据进行了预分类,以此为基础训练各种语言模型,得到聚类后的语言辨识系统.高斯混合模型、高斯混合模型-全局背景模型和遍历隐马尔可夫模型3种语言模型的实验证明,这种方法是有效的,它普遍地减小了说话人差异对语言辨识的影响,提高了语言辨识率.  相似文献   

5.
利用数字式2400 bit/s通道声码器作手段,研究数字语言通信系统的语言质量评价问题。在几种不同的传递条件下,进行了语言清晰度试验。这些传递条件包括;1.语言信号经过低通3400Hz滤波,2.语言信号经过低通3400Hz滤波以后,再进行脉码调制,3.语言信号经过2400 bit/s声码器,4.语言信号经过声码器,但采用不同的激励源(a.单纯用白噪声,b.基频随语言输入变化的三角波,c.基频固定的三角波)。对数字语言信号处理系统和模拟语言信号处理系统的语言质量进行了对比研究。结果表明:1.数字语言信号处理系统的语言质量降低主要表现在辅音清晰度较低,2.要想对各个语音的质量作出评价和诊断,需要使用较多的试验表,3.在语言信号结构没被破坏的条件下,在模拟语言信号处理系统上建立的不同语言单位清晰度试验得分间的统计关系,对数字语言信号处理系统也是适用的,4.数字语言信号处理系统和模拟语言信号处理系统工作性能的对比研究,有助于检验数字处理系统语言质量降低的原因。  相似文献   

6.
冯永亮 《通讯世界》2017,(17):257-258
作为国内外广泛流行,并有着广阔发展前景的计算机高级语言,C语言一直受到业界关注,其中针对C语言的课程教学改革就是研究热点之一.随着企业对IT人才的需求不断演变以及教学改革的不断深入,传统的C语言教学存在的问题越来越凸显.针对这些问题,本文在进行深入研究和不断实践的基础上,创新性的设计了一种基于层次模型的C语言程序设计教学模式.实践证明,该模式对C语言教学实践及研究有着一定的促进作用.  相似文献   

7.
DV时代的大众传播   总被引:1,自引:0,他引:1  
刘涛 《中国有线电视》2005,(12):1198-1200
DV作为一种语言,提供了一种供大众使用的视听语言.本文从传播学的角度分析了DV传播和大众传播之间的关系并对DV传播兴起的背景、发展和存在的问题进行了探讨.  相似文献   

8.
本文阐述了自动化专业中开设“C语言程序设计”课程面临的诸如教材编写内容、教学培养体系、学生知识结构等方面的若干问题和挑战.我们根据自动化专业的特点以及C语言本身的特征,对“C语言程序设计”课程建设和教学模式等进行了改革探索和实践.  相似文献   

9.
逆编译中用户自定义库函数识别技术研究   总被引:1,自引:1,他引:0  
静态库函数分为系统库函数和用户自定义库函数,它的识别问题一直是反编译技术的难点,特别是对于用户自定义库函数,由于通用性低,信息获取困难,因而这方面的研究很少.分析用户自定义库函数在存储器中的存储方式和特征,提出一种用户自定义库函数的识别方法,首次采用两级中间语言(低级中间语言和高级中间语言)的形式进行处理,对中间语言的生成、函数伪代码模块的提取、识别模板的建立等关键技术进行详细的分析研究,最后给出识别实例.实践证明具有较高的应用价值.  相似文献   

10.
设计了一种适合于AVS的自适应环路滤波器.为解决计算复杂度高的问题,通过片内缓存管理方式和流水线设计,解决了环路滤波的硬件实现时速度幔的问题,使得效率提高,达到了实时解码的要求.最后采用Verilog语言进行设计和仿真.  相似文献   

11.
顺应SoC的发展趋势,Accellera标准组织提议了一个统一设计语言SystemVerilog。本文主要讨论了SystemVerilog的特点、设计优势、现状和未来趋势等,并给出了一些实例。SystemVerilog是C、C 、Superlog和Verilog的混合,它极大地扩展了抽象结构层次的设计建模和验证的能力,是SoC设计的最佳统一语言。  相似文献   

12.
基于Verilog HDL设计的交通灯控制系统   总被引:1,自引:0,他引:1  
何峰 《现代电子技术》2005,28(8):103-105
Verilog HDL作为一种规范的硬件描述语言,被广泛应用于电路的设计中。他的设计描述可被不同的工具所支持,可用不同器件来实现。利用Verilog HDL语言自顶向下的设计方法设计交通灯控制系统,使其实现道路交通的正常运转,突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点,并通过Xilinx ISE6.02和ModelSim 5.6完成综合、仿真。此程序通过下栽到FPGA芯片后,可应用于实际的交通灯控制系统中。  相似文献   

13.
介绍了单电源12位A/D转换器,AD7892SQ的工作原理和CPLD(复杂可编程逻辑器件)的软核。重点说明了Verilog HDL控制程序FSM(有限状态机)和FIFO(先入先出)的设计,FSM和FIFO程序通过仿真得到了它们的仿真波形。系统通过CPLD接口提高了数据采集的实时性,而且用高级语言Verilog HDL进行设计电路,使电路设计的通用性和可移植性得到了较大的提高。  相似文献   

14.
15.
介绍SystemVerilogVMM验证方法学在LCDController验证中的应用,指出它相对于传统Verilog验证方法的1优点,重点研究功能覆盖率的收敛技术,实验比较了多种具体的实现方法。实验结果表明,由于CCT能够收集覆盖信息,形’成闭环负反馈,以控制随机变量的生成,从而在实现快速收敛的目标方面取得了显著的效果。  相似文献   

16.
用Verilog HDL(硬件描述语言)进行有限状态机电路设计,由于设计方法不同,综合出来的电路结构、速度、面积和时延特性都会有很大的差别,甚至某些臃肿的电路还会产生难以预料的问题。因此,很有必要深入探讨在用Verilog HDL进行有限状态机设计中,如何简化电路结构、优化电路设计的问题。文中根据有限状态机的设计原理,描述了有限状态机设计的几种设计方法,分析了影响状态机设计时延、速度和电路综合面积问题,提出了一种高效状态机设计方法,并给出了基于Veril-og HDL程序综合得到的电路图,验证了方法的正确性。  相似文献   

17.
针对SRAM内建自测试(MBIST),介绍几种常用的算法,其中详细介绍March C+算法,在深入理解March C+算法的基础上对其提出改进,以此提高MBIST的故障覆盖率。并且利用自顶向下设计方法,Verilog HDl设计语言、设计工具等设计MBIST电路及仿真验证,证明了本设计的正确性和可行性。  相似文献   

18.
介绍了一种用FPGA(现场可编程门阵列)技术设计的新型图像采集系统,该系统可用于改进图像采集功能欠缺的老型号扫描电镜.设计方案采用可编程芯片FP-GA对系统进行逻辑控制,编写不同的程序即可广泛应用于其他图像的采集.选用TI公司的ADSl606作为模数转换的核心芯片,介绍了ADSl606的输入驱动电路、参考源电路以及为其设计的仪表放大调整电路,并给出了FPGA的控制工作流程和用Verilog HDL(硬件描述语言)编写的部分程序.同时,还对电路设计的注意事项做了详细的说明.  相似文献   

19.
用Verilog HDL进行FPGA设计的原则与方法   总被引:1,自引:0,他引:1  
Verilog HDL是目前较流行的一种硬件描述语言,在FPGA设计中有着广泛的应用.本文首先介绍了Verilog HDL语言的特点以及用其进行FPGA硬件开发的原则,然后在熟悉FPGA的硬件结构原理的基础上,遵循FPGA设计流程,以分频器和状态机为例,分别讨论了组合逻辑电路和时序逻辑电路各自的特点及其设计输入方法;最后结合FPGA的硬件特点,分析了将用Verilog HDL语言设计的电路的进行综合与设计优化并最终实现为硬件电路的方法.  相似文献   

20.
verilog HDL语言在芯片设计中应用广泛,而有限状态机的设计是数字系统设计的关键部分。本文介绍了有限状态机的设计,探讨了Verilog HDL代码描述会对状态机的综合结果产生的影响,最后通过一个序列检测器的设计进行实例说明,  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号