首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
文章研究了在GaAs工艺,双层金属布线,基于门阵的宏元胞模式下,采用时间驱动算法布局设计(TimingDrivenPlacement)的布线算法,算法以芯片性能得到最大限度的改善,包括芯片关键路径时延最短,互连线总长最短,最长互连线最甜,布线密度均匀等为目标,从而达到超高速的目的。  相似文献   

2.
随着集成电路生产工艺的进展,互连线在集成电路设计中的影响越来越大。为了减小互连线的影响,通常在芯片互连中插入缓冲器,但这样做会增加时延。因此,为了精确地对系统进行时延估计,必须对缓冲器的时延进行估算。基于Sakurai的器件模型,提出了一种新的缓冲器时延估算模型。  相似文献   

3.
任杰  毛军发  李晓春 《微电子学》2005,35(3):286-289
在考虑标准单元设计方法特点的基础上,提出了一种针对互连线时延优化的缓冲器插入及布线算法.该算法考虑标准单元设计中的缓冲器插入区域限制,在布线的同时插入缓冲器,能有效实现单路径时延最小化.  相似文献   

4.
随着深亚微米工艺技术条件的应用和芯片工作频率的不断提高 ,芯片互连线越来越成为一个限制芯片性能提高和集成度提高的关键因素 :互连线延迟正逐渐超过器件延迟 ;互连线上信号传输时由于串扰引起的信号完整性问题已成为深亚微米集成电路设计所面临的一个关键问题。文中分析了芯片中器件和互连线的延迟趋势 ,模拟分析了 0 .1 8μm CMOS工艺条件下的信号完整性问题。  相似文献   

5.
本文设计了一种对可编程逻辑单元CLB和可编程输出单元IOB均具有统一结构的可编程互连电路。通过偏移互连线和回线技术,使得同种可编程互连线的负载分布均匀,保证了可编程逻辑器件FPGA芯片中信号传输的可预测性和规整性;同时,设计过程中对编程点和驱动器电路进行专门的优化设计,减少了5%延时。运用该互连电路到实例FPGA芯片--FDP芯片中,流片后实测数据表明:该可编程互连电路中各种互连线功能正确,可以正确地完成各种信号的互连,整个芯片的延迟统一而且可预测。  相似文献   

6.
一个以时延优化为目标的力指向Steiner树算法   总被引:5,自引:1,他引:4  
洪先龙 《半导体学报》1995,16(3):218-223
本文提出了一种用于总体布线的以时延优化为目标的力指向Steiner树算法.它在构造Steiner树时同时考虑使线网总长和从源点到漏点的路径长度最小,以期得到时延最小的Steiner树.文中首先给出多端线网连线延迟模型,并导出其上界.基于这个时延模型,提出了力指向Steiner树算法.算法已用C语言在Sun工作站上实现,并用于以性能优化为目标的总体布线中.实验表明,算法十分有效.  相似文献   

7.
随着VLSI集成度与工作频率的提高,时延问题已成为影响芯片性能的关键因素之一。当工艺水平发展到深亚微米级,互连线时延比重已经占据总时延的绝大部分。为了减小互连线时延,缓冲器插入是当前一种常见且有效的方法。但插入缓冲器会引入新的时延问题,因而如何建立一个精确的缓冲器时延模型,是研究的重点。  相似文献   

8.
随着集成电路的发展,芯片的特征尺寸变得越来越小,模拟电路中的电流也变得越来越大,因大电流使互连线出现短路或开路的现象称为电迁移现象。针对电迁移现象,本文提出了一种单主干权重排序布线算法,它根据各端点电流值的大小将端点的布线顺序进行排序,使电流较大的线网先完成布线,以达到缩小布线面积的目标。这种算法满足了布线设计当中的基尔霍夫电流定律,最小设计规则以及避开障碍物等约束条件,最终实现多源多漏的线网拓扑结构。  相似文献   

9.
从生产与设计两个方面追求使用钢与低导电率膜,从而达到多层布线的高速化,已受到重视。迄今主要通过改善生产工艺来实现高速化。今后,除了生产工艺外,设计技巧也需改进。通过准确提取布线的寄生分量,尽量减少多余的设计估计值,把布线本来具有的性能优势最大限度地发挥出来,就能实现芯片运行最快速化。生产工艺已实现高速化通过相互削弱晶体管与布线的延迟来实现芯片的高速运行。但在0.25μm线宽之后,布线延迟将居于支配地位,芯片中布线的作用开始变得非常重要了,因此,在0.25μm之后对布线实现高速化的尝试特别活跃。但…  相似文献   

10.
深亚微米VLSI电路中互连线的几何优化设计   总被引:2,自引:0,他引:2  
基于三维 L aplace方程的 Silvaco Interconnect3D模拟程序数值解 ,对互连寄生电容进行了计算 ,其结果用于 0 .2 5μm CMOS技术互连延迟及串扰的 SPICE模拟中。模拟结果表明 ,基于W/ P=0 .3~ 0 .4的布线准则可以获得最优的互连延迟与串扰 (Crosstalk)特性 ,通过优化互连线及驱动管的几何尺寸可以显著地减小互连线的延迟及串扰噪声。  相似文献   

11.
Internally buffered multistage interconnection network architectures have been widely used in parallel computer systems and large switching fabrics. Migration from electrical domain to optical domain has raised the necessity of developing node architectures with optical buffers. Cascaded fibre delay line architectures can be seen as possible realizations of output and shared buffering in a 2 × 2‐switching element. These approaches can be used as buffered node architecture in a Banyan like interconnect. In this paper, we investigate and compare these approaches by using simulation methods. Different performance metrics, such as normalized throughput, average packet delay, packet loss rate and buffer utilization have been used under uniform and non‐uniform traffic models. Results show that the TC‐chain node Banyan network offer an improved normalized throughput and average packet delay performances under both traffic models without disrupting first‐in‐first‐out order of arrivals. The switched delay‐line requires fewer switching elements than TC and TTC architectures but at the cost of high packet delay. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

12.
邝嘉  黄河 《半导体技术》2008,33(1):68-72
利用多层金属导体寄生电容模型,详细分析了不同的金属互连线参数对寄生电容的影响,并采用一个闭合公式对超深亚微米级集成电路中的RC互连延迟进行估计.结果表明,当金属导线的纵横比接近2时,线间耦合电容对互连总电容的影响将占主导地位.在超深亚微米工艺条件下,当金属线宽和间距比例W/P的最优质值为0.5~0.6时,计算的互连延迟为最小.此外,还给出了低介电常数材料对互连线电容和延迟的影响,为超深亚微米级的集成电路设计与实现提供有益的参考.  相似文献   

13.
孙玲玲  严晓浪  蔡妙花 《电子学报》1999,27(11):87-89,95
本文提出一种基于传递函数递推和系数匹配的互连线网时延估算法,该算法用二极点模型逼近互连线网的传递函数,仅通过计算某一频率点上的传递函数,就可利用导出的解析公式或拟合的经验公式进行快速时延估算,不必进行复杂的分量计算,算例表明,对于各个门限值,其计算结果均与spice计算的时延值发接近,计算量也比通常基于高阶分量计算的算法大为减少,在计算效率和模拟精度两方面得到较好折衷,对于互连线网时延估算具有实用  相似文献   

14.
电气互联技术是电子产品先进制造技术的典型技术,具有机电结合技术综合度高的特点.电气互联技术已经由以表面组装技术(SMT)、微组装技术、立体组装技术和高密度组装技术等技术为标志的发展时期,逐步进入了以光电互联技术、结构功能构件互联技术等为标志的新技术发展时期,其特征是技术综合度更高、机电关联性更强、互联工艺难度更大、对电子装备系统性能和功能的影响更为直接.简介了电气互联技术及其光电互联、结构功能构件互联新技术的基本概念和发展动态.  相似文献   

15.
将空气隙应用于逻辑器件后段金属互连线中可以有效降低互连线间的寄生电容,提升电路信号传输速度,但制备过程仍具有一定的困难。基于三维闪存(3D NAND)中后段(BEOL)W的自对准双重图形化(SADP)工艺,利用湿法刻蚀的方法在W化学机械平坦化(CMP)之后去除SiO_2介质层,然后再利用化学气相淀积(CVD)法淀积一层台阶覆盖率较低的介质在金属互连线层内形成空气隙。采用空气隙结构代替原来的SiO_2介质层可降低约37.4%的寄生电容,且薄膜的台阶覆盖率会进一步降低电容。TCAD仿真和电性能测试结果表明,采用该方法制备的空气隙结构可降低互连延迟。  相似文献   

16.
电信互联互通问题一直是政府实施电信管制的焦点和难点.本文作者纵观这些年互联互通问题的实际情况,总结了互联互通问题的三种表现形式,预见性地提出了当前和将要面临的电信业务互联的问题;总结了电信运营商在互联互通问题上的三种利益冲突,提出了在竞争性的市场上,可能出现的企业联盟及其互联互通动机;最后为解决互联互通问题,提出了必须完成的四个关键性的管制任务.  相似文献   

17.
杨玉泉  谭学治  张学军 《信息技术》2004,28(7):79-81,85
通过对过去集群系统中联网结构的分析,基于比较常用的集中式联网结构和分布式联网结构,提出了一种全新的漫游联网结构:综合联网结构。在应用的基础上给出了基于这种新的联网结构的漫游策略。同时进行对比、分析了新的联网结构的利弊。  相似文献   

18.
任意层互联技术是最先进的HDI技术,主要应用于高端智能手机。文章介绍几种主要的任意层互联技术,以及汕头超声印制板公司对该技术的开发和应用。  相似文献   

19.
赵峰  张云 《激光技术》1995,19(1):14-18
本文首先分析了在超大规模集成电路中电互连所遇到的困难,讨论了光学互连的优点。然后,总结了各种光互连,包括导波光互连和自由空间光互连的最近进展,最后分析了光互连研究中所遇到的困难以及相应的解决办法。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号