首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 62 毫秒
1.
In this work, gated midwave infrared (MWIR) Hg1–x Cd x Te photodiodes are used to investigate the physical origin of 1/f noise generation. Gated photodiodes were fabricated on liquid-phase epitaxy p-type HgCdTe MWIR material with a vacancy-doped concentration of 1.6 × 1016 cm−3 and x = 0.31. CdTe was thermally deposited and used as both a passivant and a mask for the plasma-based type conversion, and ZnS was used as an insulator. Fabricated devices show a R 0 A of 1–5 × 104 Ωcm2 with zero gate bias. Application of 2 V to the gate improves the R 0 A by more than two orders of magnitude to 6.0 × 106 Ωcm2, which corresponds to the p-type surface being at transition between depletion and weak inversion. Trap-assisted tunneling (TAT) current was observed at negative gate biases and reverse junction biases. For gate biases greater than 3 V, a field-induced junction breakdown was observed. An I n = α I β f   −0.5 trend was observed above 200 pA reverse bias dark current, with α = 3.5 × 10−5 and β = 0.82, which corresponds to the TAT dominated region. Below 200 pA, junction generation-recombination (GR) current starts to dominate and this previously mentioned trend is no longer observed. Junction GR current was not seen to be correlated with 1/f noise in these photodiodes.  相似文献   

2.
Uncertainty in precursor pulse delays and shapes has been found to be an important factor in Hg1−x Cd x Te metal-organic chemical vapor deposition (MOCVD) growth using the interdiffused multilayer process (IMP). Herein, metal-organic concentration changes in the growth zone are examined using an in␣situ infrared (IR) absorption gas monitoring system, and modifications to the interdiffused multilayer process are applied for in␣situ control of stoichiometry, improved morphology, minimized process length, and consumption of precursors. Dimethylcadmium (DMCd) introduction during IMP flush stages in HgTe was used for stoichiometry control. The final stage of heterostructure formation was optimized to prevent Hg outdiffusion. As a result, vacancy concentration was reduced far below the equilibrium level at the growth conditions so the background of n-type doping was revealed. Acceptor doping with arsine (AsH3) and trisdimethylaminoarsenic (TDMAAs) was examined over a wide range of compositions, and doping levels of 5 × 1015 cm−3 to 5 × 1017 cm−3 were obtained. The presence of both arsenic dopants significantly increased the CdTe growth rate. This caused an increase of Cd mole fraction in the grown material. Doped heterostructures can be grown without any postgrowth anneal and used for mid- and long-wavelength infrared (MWIR and LWIR) devices operating at near-ambient temperatures. Student paper; supervisors are A. Rogalski, J. Piotrowski and J. Szmidt  相似文献   

3.
The electrical properties of semiconductor materials have conventionally been extracted via Hall measurements performed at a single magnetic field. When applied to a semiconductor such as HgCdTe with mixed conduction characteristics, the values obtained from the Hall measurement represent only an averaged contribution of all carriers present in the sample. In this study, the transport properties of a liquid-phase epitaxially (LPE) grown p-type HgCdTe were determined. Variable magnetic field and temperature Hall and resistivity measurements were employed in conjunction with the improved-quantitative mobility spectrum analysis (iQMSA) algorithm to extract the concentrations and mobilities of all carriers present in the material. A comparison study was made between a van der Pauw Greek cross and a standard Hall bar structure on the same material. A disparity in the transport property of the sample was observed when both structures were measured within a few days of each other. Through iQMSA analysis, the discrepancy is seen to be attributed to the formation of an n-type skin inversion layer within a week after processing.  相似文献   

4.
The optimization of growth parameters, epitaxial structure, and device design for full-vertical gallium nitride (GaN) p-i-n rectifiers grown on n-type 6H-SiC substrates employing AlGaN:Si conducting buffer layers have been studied. The Al x Ga1−x N:Si (x = ~0.1) nucleation layer is calibrated to be capable of acting as a good buffer layer for subsequent GaN growth as well as to provide excellent electrical properties. Two types of full-vertical devices were fabricated and compared: one without any current guiding and the other with the current guiding in the p-layer. The reverse breakdown voltage for rectifiers with a relatively thin 2.5-μm-thick i-region without p-current guiding was found to be over −330 V, while one with p-current guiding was measured to be over −400 V. Devices with p-current guiding structures exhibit reduced reverse leakage current by an order of magnitude >4 at −100 V.  相似文献   

5.
NaCo2O4 has one of the highest figures of merit among all ceramic thermoelectric materials. Because of its large thermopower and low resistivity, the ceramic oxide NaCo2O4 is a promising candidate for potential thermoelectric applications. NaCo2O4 is, moreover, a ceramic compound with high decomposition temperature and chemical stability in air and it does not contain any toxic elements. Like all 3-d transition ions, Co ions have multiple spin and oxidation states. In this investigation, thermopower and electrical conductivity of NaCo2O4 as a function of substitution of Co by Fe ions were measured. Fe substitution for Co causes resistivity to increase, whereas the Seebeck coefficient remained nearly invariant, especially above 330 K. An erratum to this article can be found at  相似文献   

6.
In this report, we present a new secondary ion mass spectrometry (SIMS) analysis technique to provide accurate Cd composition profiles based on the measurement of HgCs+ and CdCs+ cluster ions. Study of Hg1–x Cd x Te samples with different x values shows that x/(1 − x) is linearly proportional to HgCs+/CdCs+ over the range of x = 0.2 to x = 0.9. This technique allows us to obtain an accurate Cd profile for a multilayer HgCdTe sample with different x values for each layer using a single standard with known x value. (Received 10/15/06; accepted 2/14/07)  相似文献   

7.
A reaction study of Cu x Ni y alloy (x = 0.2–0.95) under bump metallization (UBM) with Sn-Ag-zCu solder (z = 0–0.7) was conducted. Formation and separation of intermetallic compounds (IMCs), effect of Cu addition to the Cu x Ni y alloy and the solders, and compatibility of reaction products with currently available phase diagrams are extensively investigated. The increase of Cu content both in the Cu x Ni y alloy and in the solder promoted IMC growth and Cu x Ni y consumption; though, with regard to solder composition, the reverse trend was true of the solder reactions in the literature. The liquid + Cu6Sn5 area in the Sn-rich corner needs to be larger compared to the currently available Cu-Ni-Sn ternary phase diagram, and the maximum simultaneous soluble point of Ni and Cu in Sn needs also to be moved to the Ni-Sn side (e.g., Sn-0.6Cu-0.3Ni).  相似文献   

8.
The usefulness of half-Heusler (HH) alloys as thermoelectrics has been mainly limited by their relatively large thermal conductivity, which is a key issue despite their high thermoelectric power factors. In this regard, Bi-containing half-Heusler alloys are particularly appealing, because they are, potentially, of low thermal conductivity. One such a material is ZrCoBi. We prepared pure and Ni-doped ZrCoBi by a solid-state reaction. To evaluate thermoelectric potential we measured electrical resistivity (ρ = 1/σ) and thermopower (σ) up to 1000 K and thermal conductivity (κ) up to 300 K. Our measurements indicate that for these alloys resistivity of approximately a few mΩ cm and thermopower larger than a hundred μV K−1 are possible. Low κ values are also possible. On the basis of these data we conclude that this system has a potential to be optimized further, despite the low power factors (α 2 σT) we have currently measured.  相似文献   

9.
A technique is developed for calculating the electrodynamic characteristics of a filter of H 0n modes in the case of various configurations of the filter and various combinations of its mechanical and electric parameters. In numerical computations, the complete set of the filter parameters is taken into account. It is shown that the number of absorbing elements in the filter can be reduced from three to two and that, simultaneously, the quality characteristics of the filter can be improved.  相似文献   

10.
Exposure of p-type HgCdTe material to Ar/H2-based plasma is known to result in p-to-n conductivity-type conversion. While this phenomenon is generally undesirable when aiming to perform physical etching for device delineation and electrical isolation, it can be used in a novel process for formation of n-on-p junctions. The properties of this n-type converted material are dependent on the condition of the plasma to which it is exposed. This paper investigates the effect of varying the plasma process parameters in an inductively coupled plasma reactive ion etching (ICPRIE) tool on the carrier transport properties of the p-to-n type converted material. Quantitative mobility spectrum analysis of variable-field Hall and resistivity data has been used to extract the carrier transport properties. In the parameter space investigated, the n-type converted layer carrier transport properties and depth have been found to be most sensitive to the plasma process pressure and temperature. The levels of both RIE and ICP power have also been found to have a significant influence.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号