首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The structure, microhardness and deformation character for silicon detectors were investigated following a neutron irradiation, using optical and atomic force (AFM) microscopes. The results of these investigations have given an important contribution to the understanding of silicon damage process by neutron irradiation. It was shown that in the interval of neutron fluences 9.9×1010Φ≤3.12×1015 n/cm2, the shape of damage is accumulative (from small punctual to large defects). Abrupt changes of microstructure together with the electrical and mechanical properties [Bosetti M, Croitoru N, Furetta C, Pensotti S, Rancoita M, Rattaggi M, Redaelli M, Seidman A. Nucl Instr Methods B 1995;95:21; Croitoru N, Gambirasio A, Rancoita PG, Seidman A. Nucl Instr Methods B 1996;111:297; Croitoru N, Rancoita G, Rattaggi M, Rossi M, Seidman A. Nucl Instr Methods B 1996;114:120; Fretwurst N, Claussen N, Croitoru N, Papendick B, Pein U, Schatz H, Schultz T, Wunstorf R. Nucl Instr Methods A 1993;326:357; Croitoru N, Dahan R, Rancoita PG, Rattaggi M, Rossi G, Seidman A. Nucl Instr Methods B 1997;124:542], were found for Φ≥1014 n/cm2. Different kinds of defects (dislocations and interstitials) and their complexes appeared under neutron irradiation. For all fluences the regions (“White” — “W”) with a microhardness smaller than in nonirradiated silicon were observed. Microhardness is larger in the regions where the concentration of dislocation loops is high. The “W” regions have a small number of the dislocations loops, and single punctual defects were seen there using atomic force microscope. The dislocation loops are placed in specific (“Black” — “B”) regions, which increase in size with the increase of neutron fluence due to a process of vacancies and interstitials accumulation.  相似文献   

2.
An examination of shallow pre-amorphisedp + n junctions in silicon has revealed three distinct defect related phenomena determined largely by the annealing temperature and relative location of the junction and the amorphous-crystalline (α-c) boundary. For temperatures below 800‡ C all samples displayed leakage currents of ∼10−3 A/cm2 irrespective of the amorphising atom (Si+, Ge+ or Sn+). The generation centres responsible were identified to be near mid-gap deep level donors lying beyond the α-c interface. For samples annealed above 800‡ C, the leakage current was determined by the interstitial dislocation loops at the α-c boundary. If these were deeper than the junction, a leakage current density of ∼10−5 A/cm2 resulted. From the growth of these loops during furnace annealing it was concluded that the growth was supported by the influx of recoil implanted silicon interstitials initially positioned beyond the α-c boundary. In the case where the as-implanted junction was deeper than the α-c boundary, annealing above 800° C resulted in a transient enhancement in the boron diffusion coefficient. As with the dislocation loop growth, this was attributed to the presence of the recoil implanted silicon interstitials.  相似文献   

3.
State of the art transparency currents as low as 41 A/cm2 per well have been achieved in strained AIGalnAs multi-quantum well (MQW) 1.5 urn lasers. Grown by solid source molecular beam epitaxy, broad area lasers with seven quantum wells exhibit threshold current densities of less than 900 A/cm2 for a 300 μm device length, comparable to the best results in this material system by any growth technology. The key to this threshold current density reduction is the optimization of the quantum well width. Experimentally, we found that thresh-old current densities can be reduced by a factor of two by using MQW active regions with wider wells which we attribute to a reduction in the nonradiative recombination and improved electron-hole overlap. High resolution x-ray diffraction, photoluminescence, and broad area lasers were used to characterize the MQW active regions.  相似文献   

4.
B-doped Si0.77Ge0.23 of various surface-doping levels was used to investigate the evolution of implant damage and the corresponding transient enhanced diffusion of boron as a function of boron concentration. These layers were implanted with a non-amorphizing 60 keV, 1×1014 cm−2 Si, and annealed at 750 °C. Plan-view transmission electron microscopy (PTEM) confirmed the formation and dissolution of dislocation loops. Transient enhanced diffusion (TED) is evident in the surface doped SiGe, but the low diffusivity of interstitials in Si0.77Ge0.23 and the presence of interstitial traps inhibited TED at the deeper B marker layer.  相似文献   

5.
Experimental and theoretical investigations of electron recombination between Landau levels and associated impurity levels have been performed for n-InSb. The electronic lifetime in the first Landau level is found to be determined by electron-electron scattering what is experimentally confirmed by an inversely linear dependence on the electron concentration n1 in the 1st Landau level. Values of 10−10 sec are obtained for n1 1013 cm−3. For n1 ≤ 1010 cm−1 acoustic phonon scattering determines the lifetime in the first Land level. For the recombination of electrons between the lowest Landau level and the impurity ground state times between 200 nsec and 50 nsec dependent on the magnetic field but independent of electron concentration are found indicating a phonon capture process. These times are also responsible for the observation that relaxation times from the (110) impurity level to the (000) ground state are the bottle neck in a three step process.  相似文献   

6.
The structure, microhardness and deformation characteristics of silicon detectors were investigated following a neutron irradiation, using optical and Atomic Force (AFM) microscopes. Electron Beam induced Current (EBIC) of a Scanning Electron Microscope (SEM) was used for a direct investigation of the influence of the neutron irradiation on a p–n junction space charge region. This neutron irradiation resulted in a deterioration in the electronic performance of silicon detectors. The results of these investigations had an important contribution to the understanding of silicon damage processes created by neutron irradiation. The studies have shown that in the interval of neutron fluences (Φ) 9.9×1010≤Φ≤3.12×1015 n/cm2the damage was accumulative (from small point defects to high-defects accumulations). Abrupt changes in the microstructure, electrical [Nucl. Instrum. Meth. A, 315 (1992) 149; Nucl. Instrum. Meth. B, 95 (1995) 21; Nucl. Instrum. Meth. B, 111 (1996) 297] and mechanical properties appeared practically at the same fluence value of Φ≥104 n/cm2. This fact demonstrates on the strong relation between the properties of the damaged silicon semiconductor and the silicon detector as an electronic device.Microscopy studies have shown that with the increase of Φ, different types of defects such as dislocation loops and their tangling appear. These dislocations are displaced in specific regions, due to the process of vacancies and interstitials, which increase their cumulation. The strongly damaged regions were imaged in the microscope as black (B) islands and the less damaged regions were imaged as white (W) islands. Microhardness measurements to the damaged detectors have shown the same islands in the damaged regions. Using an AFM it was found that the W regions contain smaller number of dislocation loops and a large amount of single point defects with their cumulations.  相似文献   

7.
The effects of gamma irradiation on as-deposited, oxygen-annealed, and dual-dielectric gate (undoped polysilicon/oxide) low-pressure chemical-vapor-deposited (LPCVD) silicon dioxide (SiO2) metal-oxide-silicon (MOS) structures were investigated. As-deposited LPCVD SiO2 MOS structures exhibit the largest shift in flatband voltage with gamma irradiation. This is most likely due to the large number of bulk oxide traps resulting from the nonstochiometric nature of as-deposited LPCVD SiO2. Dual-dielectric (undoped polysilicon/annealed LPCVD SiO2) MOS structures exhibit the smallest shift in flatband voltage and increase in interface state density compared to as-deposited and oxygen-annealed LPCVD SiO2 MOS structures. The interface state density of dual-dielectric MOS structures increases from 5 × 1010 eV cm−2 to 2–3 × 1011 eV cm−2 after irradiation to a gamma total dose level of 1 Mrads(Si). This result suggests that the recombination of atomic hydrogen atoms with silicon dangling bonds, either along grain boundaries or in crystallites of the undoped polysilicon layer in dual-dielectric (undoped polysilicon/annealed LPCVD SiO2) MOS structures, probably reduces the number of atomic hydrogen atoms reaching the Si/SiO2 interface to generate interface states.  相似文献   

8.
Low-dielectric constant SiOC:H films were prepared by plasma enhanced chemical vapour deposition (PECVD) from trimethyl-silane (H–Si–(CH3)3) and ozone (O3) gas mixture. The samples were preliminarily annealed at 400 °C in N2 atmosphere and then in N2+He plasma. Afterwards, they were treated in vacuum at some fixed temperatures in the range between 400 and 900 °C. Structural investigations of the annealed films were carried out by means of vibrational spectroscopy techniques. FT-IR spectrum of a preliminarily treated sample shows absorption bands due to stretching modes of structural groups like Si–CH3 at 1270 cm−1, Si–O–Si at 1034 cm−1 and C–Hx in the region between 2800 and 3000 cm−1. No significant spectral change was observed in the absorption spectra of samples annealed up to 600 °C, indicating that the preliminarily treated film retains a substantial structural stability up to this temperature. Above 600 °C, absorption spectra show a strong quenching of H-related peaks while the band due to Si–O–Si anti-symmetric stretching mode shifts towards higher energy, approaching the value observed for thermally grown SiO2. Raman spectra of samples treated at temperatures T500 °C exhibit both D and G bands typical of sp2-hybridised carbon, due to the formation of C–C bonds within the film which is accompanying the release of hydrogen. The intensity of D and G bands becomes more pronounced in samples annealed at higher temperatures, thus suggesting a progressive precipitation of carbon within the oxide matrix.  相似文献   

9.
The dynamic interaction between dislocations and impurities B, P and Ge in Si with concentrations up to 2.5×1020 cm−3 is investigated by the etch-pit technique, in comparison with that of O impurity in Si. Dislocation generation from a surface scratch is strongly suppressed when the concentration of B and P impurities exceeds 1×1019 cm−3, originating from the immobilization by preferential impurity segregation. Dislocation velocity in motion enhances on increasing the concentration in B and P impurities. Neutral impurity Ge has weak effect on dislocation generation and velocity enhancement.  相似文献   

10.
We report measured evolutions of the optical band gap, refractive index and relative dielectric constant of TiO2 films obtained by electron beam gun evaporation and annealed in an oxygen environment. A negative shift of the flat band voltage with increasing annealing temperatures, for any film thickness, is observed. A dramatic reduction of the leakage current by about four orders of magnitude to 5×10−6 A cm−2 (at 1 MV cm−1) after 700°C and 60 min annealing is found for films thinner than 15 nm. The basic carrier transport mechanisms at different ranges of applied voltage such as hopping, space charge limited current and Fowler–Nordheim is established. An equivalent SiO2 thickness in order of 3.5 nm is demonstrated.  相似文献   

11.
The rectangular prismatic dislocation loops found in single crystals of InP grown from indium-rich melts have been analysed further by transmission electron microscopy (TEM) and a model is proposed for their formation. These loops are shown to be of an interstitial nature and it is proposed that they form by condensation of indium interstitials onto dislocation lines causing climb. Because this climb occurs in the presence of only one native interstitial species, the climbed plane is expected to be imperfect, consisting of either Vp or Inp. Such a defective plane will create a dilation parallel to the plane normal and lead to the displacement fringes observed by TEM. The defective plane is shown to act as a nucleation site for subsequent precipitation at low temperature. EDX analysis suggests that this precipitate is indium.  相似文献   

12.
In this work hafnium oxide (HfO2) was deposited by r.f. magnetron sputtering at room temperature and then annealed at 200 °C in forming gas (N2+H2) and oxygen atmospheres, respectively for 2, 5 and 10 h. After 2 h annealing in forming gas an improvement in the interface properties occurs with the associated flat band voltage changing from −2.23 to −1.28 V. This means a reduction in the oxide charge density from 1.33×1012 to 7.62×1011 cm−2. After 5 h annealing only the dielectric constant improves due to densification of the film. Finally, after 10 h annealing we notice a degradation of the electrical film's properties, with the flat band voltage and fixed charge density being −2.96 V and 1.64×1012 cm−2, respectively. Besides that, the leakage current also increases due to crystallization. On the other hand, by depositing the films at 200 °C or annealing it in an oxidizing atmosphere no improvements are observed when comparing these data to the ones obtained by annealing the films in forming gas. Here the flat band voltage is more negative and the hysteresis on the CV plot is larger than the one recorded on films annealed in forming gas, meaning a degradation of the interfacial properties.  相似文献   

13.
Ultra-shallow 28–88 nm n+p junctions formed by PH3 and AsH3 plasma immersion ion implantation (PIII) have been studied. The reverse leakage current density and intrinsic bulk leakage current density of the diodes are found to be as low as 4.2 nA cm−2 and 2.4 nA cm−2, respectively. The influences of pre-annealing condition and the carrier gas on the junction depth and the sheet resistance are also studied. It is found that the increase of H or He content in the PH3 PIII can slow down the phosphorus diffusion and shallower junction can been obtained. Annealing conditions have pronounced effect on the sheet resistance. It was found that sample annealed at 850 °C for 20 s has reverse results to that annealed at 900 °C for 6 s. For AsH3 PIII samples, it is observed that two-step annealing is more effective to activate the dopant and a lower reverse current density resulted.  相似文献   

14.
Raman scattering measurements on high-resistivity layers of GaAs grown by molecular beam epitaxy at low temperature are presented. Several defect-related features are ob-served, including two peaks attributed to quasi-localized vibrational modes of point de-fects, one with a frequency of 223 cm−1 similar to a mode previously observed in elec-tron-irradiated GaAs, and the other with a frequency of 47 cm−1 similar to a mode observed in ion-implanted GaAs. We suggest that these are due to arsenic interstitials and gallium vacancies, respectively. We also observe peaks at 200 and 258 cm−1, which we believe may be due to vibrational modes in small clusters of arsenic. The 223 cm−1 mode is the only defect-related mode still observed after a 10-min annealing treatment at 600° C, although it is significantly broader and has different symmetry from the 223 cm−1 mode in the unannealed material. This indicates that the 223 cm−1 mode in the annealed material is due, at least in part, to a defect other than the arsenic interstitial.  相似文献   

15.
Metal–oxide–semiconductor (MOS) capacitors based on HfO2 gate stacks with Al and TiN gates are compared to study the effect of the gate electrode material to the properties of insulator–semiconductor interface. The structures under study were shown to contain interface trap densities of around 2 × 1011 cm−2 eV−1 for Al gate and up to 5.5 × 1012 cm−2 eV−1 for TiN gate. The peak in the surface state distribution was found at 0.19 eV above the valence band edge for Al electrode. The respective capture cross-section is 6 × 10−17 cm2 at 200 K.The charge injection experiments have revealed the presence of hole traps inside the dielectric layer. The Al-gate structure contains traps with effective capture cross-section of 1 × 10−20 cm2, and there are two types of traps in the TiN-gate structure with cross-sections of 3.5 × 10−19 and 1 × 10−20 cm2. Trap concentration in the structure with Al electrode was considerably lower than in the structure with TiN electrode.  相似文献   

16.
The defects induced by inductively coupled plasma reactive ion etching (ICP-RIE) on a Si-doped gallium nitride (GaN:Si) surface have been analyzed. According to the capacitance analysis, the interfacial states density after the ICP-etching process may be higher than 5.4 × 1012 eV−1 cm−2, compared to around 1.5 × 1011 eV−1 cm−2 of non-ICP-treated samples. After the ICP-etching process, three kinds of interfacial states density are observed and characterized at different annealing parameters. After the annealing process, the ICP-induced defects could be reduced more than one order of magnitude in both N2 and H2 ambient. The H2 ambient shows a better behavior in removing ICP-induced defects at a temperature around 500 °C, and the interfacial states density around 2.2 × 1011 eV−1 cm−2can be achieved. At a temperature higher than 600 °C, the N2 ambient provides a much more stable interfacial states behavior than the H2 ambient.  相似文献   

17.
Effects of temperature and dosage on the evolution of extended defects during annealing of MeV ion-implanted Czochralski (CZ) p-type (001) silicon have been studied using transmission electron microcopy. Excess interstitials generated in a 1 1015 cm−2/1.5 MeV B+ implanted Si have been found to transform into extended interstitial {311} defects upon rapid thermal annealing at 800°C for 15 sec. During prolonged furnace annealing at 960°C for 1 h, some of the {311} defects grow longer at the expense of the smaller ones, and the average width of the defects seems to decrease at the same time. Formation of stable dislocation loops appears to occur only above a certain threshold annealing temperature (∼1000°C). The leakage current in diodes fabricated on 1.5 MeV B+ implanted wafers was found to be higher for a dosage of 1 1014cm−2 and less, as compared to those fabricated with a dosage of 5 1014 cm−2 and more. The difference in the observed leakage current has been attributed to the presence of dislocations in the active device region of the wafers that were implanted with the lower dosage.  相似文献   

18.
Current growth methods of HgCdTe/Cd(Se)Te/Si by molecular-beam epitaxy (MBE) result in a dislocation density of mid 106 cm−2 to low 107 cm−2. Although the exact mechanism is unknown, it is well accepted that this high level of dislocation density leads to poorer long-wavelength infrared (LWIR) focal-plane array (FPA) performance, especially in terms of operability. We have conducted a detailed study of ex situ cycle annealing of HgCdTe/Cd(Se)Te/Si material in order to reduce the total number of dislocations present in as-grown material. We have successfully and consistently shown a reduction of one half to one full order of magnitude in the number of dislocations as counted by etch pit density (EPD) methods. Additionally, we have observed a corresponding decrease in x-ray full-width at half-maximum (FWHM) of ex situ annealed HgCdTe/Si layers. Among all parameters studied, the total number of annealing cycles seems to have the greatest impact on dislocation reduction. Currently, we have obtained numerous HgCdTe/Si layers which have EPD values measuring ~1 × 106 cm−2 after completion of thermal cycle annealing. Preliminary Hall measurements indicate that electrical characteristics of the material can be maintained.  相似文献   

19.
Strontium tantalate (STO) films were grown by liquid-delivery (LD) metalorganic chemical vapor deposition (MOCVD) using Sr[Ta(OEt)5(OC2H4OMe)]2 as precursor. The deposition of the films was investigated in dependence on process conditions, such as substrate temperature, pressure, and concentration of the precursor. The growth rate varied from 4 to 300 nm/h and the highest rates were observed at the higher process temperature, pressure, and concentration of the precursor. The films were annealed at temperatures ranging from 600 to 1000 °C. Transmission electron microscopy (TEM), X-ray diffraction (XRD), and ellipsometry indicated that the as-deposited and the annealed films were uniform and amorphous and a thin (>2 nm) SiO2 interlayer was found. Crystallization took place at temperatures of about 1000 °C. Annealing at moderate temperatures was found to improve the electrical characteristics despite different film thickness (effective dielectric constant up to 40, the leakage current up to 6×10−8 A/cm2, and lowest midgap density value of 8×1010 eV−1 cm−2) and did not change the uniformity of the STO films, while annealing at higher temperatures (1000 °C) created voids in the film and enhanced the SiO2 interlayer thickness, which made the electrical properties worse. Thus, annealing temperatures of about 800 °C resulted in an optimum of the electrical properties of the STO films for gate dielectric applications.  相似文献   

20.
Measurements of the dislocation density are compared with locally resolved measurements of carrier lifetime for p‐type multicrystalline silicon. A correlation between dislocation density and carrier recombination was found: high carrier lifetimes (>100 µs) were only measured in areas with low dislocation density (<105 cm−2), in areas of high dislocation density (>106 cm−2) relatively low lifetimes (<20 µs) were observed. In order to remove mobile impurities from the silicon, a phosphorus diffusion gettering process was applied. An increase of the carrier lifetime by about a factor of three was observed in lowly dislocated regions whereas in highly dislocated areas no gettering efficiency was observed. To test the effectiveness of the gettering in a solar cell manufacturing process, five different multicrystalline silicon materials from four manufacturers were phosphorus gettered. Base resistivity varied between 0·5 and 5 Ω cm for the boron‐ and gallium‐doped p‐type wafers which were used in this study. The high‐efficiency solar cell structure, which has led to the highest conversion efficiencies of multicrystalline silicon solar cells to date, was used to fabricate numerous solar cells with aperture areas of 1 and 4 cm2. Efficiencies in the 20% range were achieved for all materials with an average value of 18%. Best efficiencies for 1 cm2 (20·3%) and 4 cm2 (19·8%) cells were achieved on 0·6 and 1·5 Ω cm, respectively. This proves that multicrystalline silicon of very different material specification can yield very high efficiencies if an appropriate cell process is applied. Copyright © 2006 John Wiley & Sons, Ltd.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号