首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 93 毫秒
1.
首先描述了伪随机序列的原理特性和生成方法,然后分析应用FPGA来实现伪随机序列的原理,并且详细讲述PRBs数据流的产生和检测的实现方法,利用FPGA器件的特殊结构,非常有效地节约了逻辑资源。然后利用仿真软件对设计进行了实现和验证。对于如何检测伪随机序列,提出两种不同的检测方法,做出比较并分析其利弊,最后指出伪随机序列的使用范畴。  相似文献   

2.
在介绍了现有随机序列的优缺点以及分析随机序列主要产生方法的基础上,提出了一种基于循环替换原理的伪随机序列产生方法,并用FPGA加以实现。该方法产生的伪随机序列完全符合FIPS140-2标准,并具有周期长、线性复杂度高、相关性好以及产生时间短的特性,能广泛应用于信息安全等系统中。  相似文献   

3.
主要介绍了二进制移频键控FSK通信过程中利用FPGA进行伪随机序列加密的实现方法.移频键控是信息传输中使用较早的一种调制方式,它具有实现容易,抗噪声与抗衰减性能较好的优点,在中低速数据传输中得到了广泛的应用.直接利用FPGA产生伪随机序列的方法可以为系统设计或测试带来极大的便利.给出了基于线性反馈移位寄存器电路,设计一种简洁的伪随机序列发生器的方法.这种方法所产生的随机序列不仅可具有极长的周期,而且还具有良好的随机特性.由于该伪随机序列可以被设计成任意长度,所以设计过程比较灵活.介绍了加密的设计理论、设计过程和硬件实现,该电路可进行下载生成实际电路,并应用到信息安全领域中.  相似文献   

4.
张海峰  段颖妮  吕虹   《电子器件》2006,29(1):176-178,182
对于伪随机序列发生器一直存在如何选择反馈函数和如何产生全部的反馈序列两大问题。经过推导可以得到312bit伪随机序列发生器的本原多项式,再根据伪随机序列信号的特性选择出较好的全状态伪随机序列发生器的非线性反馈函数。即通过修改线性移位寄存器的反馈网络将移位型计数器的全部状态加以利用,实现全状态伪随机序列信号。同时应用FPGA实现12bit全状态伪随机序列发生器并对其产生的序列进行仿真分析。  相似文献   

5.
一种非线性最大长度伪随机序列发生器的设计   总被引:2,自引:0,他引:2       下载免费PDF全文
吕虹  段颖妮  管必聪   《电子器件》2008,31(3):898-900
伪随机序列在众多领域得到应用,研究伪随机序列的产生具有重要意义.基于m序列,首次提出采用特征函数构造非线性最大长度移位寄存器反馈函数.运用这种方法,构造了一类非线性反馈函数.根据该类反馈函数,设计了非线性伪随机序列发生器,并在FPGA(EPF10K)上得到实现.结果表明,该序列发生器生成的伪随机序列,周期长度,平衡特性、游程特性与m序列相同,非线性度较之得到提高,是难得的序列.  相似文献   

6.
在电子对抗领域,利用FPGA产生伪随机序列模拟噪声源的方法,可为实现各种噪声干扰带来便利。文中设计了基于混合同余法和中心极限定理产生随机噪声的FPGA实现方法。算法上对传统混合同余法进行了简化,避免了FPGA实现时大量的乘除运算。测试结果表明,该方法不仅有效可行,且简捷高效,生成的伪随机数具有周期、均值、方差等关键参数灵活可控,概率分布类型可变的特点,可为各种噪声干扰提供不同的噪声样本。  相似文献   

7.
提出了一种利用FPGA发出的伪随机序列检测微弱光信号的方法。用伪随机序列调制LED或LD光源,注入测量光纤,输出光信号经过光电转换后与伪随机序列的调制信号做相关检测。由于伪随机序列具有与白噪声相近的相关特性,测量过程中的干扰、噪声对相关峰值影响很小。因此,可以检测到微弱的输出光信号,提高了测量精度。测量系统由LabVIEW虚拟仪器实现。  相似文献   

8.
传统伪随机序列构成优选对的数目较少,在码分多址系统中的应用受到限制。利用GW48实验平台和QuartusⅡ软件,采用有限域法寻找m序列优选对,以及采用自顶向下的设计方法,设计了一种基于FPGA的多路伪随机序列发生器,即G0LD序列发生器。实验测试结果表明该GOLD序列发生器具有良好的自相关性和互相关性,可广泛应用于通信、密码学、雷达、流媒体处理等领域。  相似文献   

9.
该文利用m状态序列稳定的长周期,以及混沌序列流的高线性复杂度,研究了一种将m状态序列作为准混沌Mealy型有限状态机输入的2k元伪随机序列产生方法,分析了系统的周期待性,进行了序列流随机性的测试,介绍了系统作为跳频码发生器在FPGA的仿真和综合结果.  相似文献   

10.
介绍了伽利略卫星信号E5波段的基带信号结构,详细讲述了伽利略卫星信号中E5波段扩频码的结构和扩频码基于伪随机序列的线性移位寄存器的产生方法,给出了基于VHDL的FPGA器件设计方案以及modelsim仿真结果和signaltapII逻辑分析器捕获FPGA中的最终信号结果,由最终结果可知采用FPGA来实现伽利略伪卫星的E5,E1,L1波段的基带信号合成是非常简单易行的.  相似文献   

11.
设计了一个基于FPGA的高速、高性能的高斯随机数发生器。首先简要介绍了以前的一些算法并指出其不足之处。然后阐明了本文的算法:对均匀随机数进行高效的变换以生成非常接近高斯分布的随机数,再依据中心极限定理把两个上述随机数相加得到高斯随机数。算法所需的运算只有RAM的读操作与乘法、加法运算。分析了算法的性能并与其他算法做了对比,证明了本文算法的高效性。最后给出了FPGA实现的系统结构,并分析了所需的硬件资源。  相似文献   

12.
A novel method for generating physical random numbers using Boolean-chaos as the entropy source was proposed.An autonomous Boolean network (ABN) without self-feedback was constructed by using two-input logic gates,and its dynamic characteristics were analyzed.Based on this,a 15-node ABN circuit was implemented to successfully generate Boolean-chaos with a bandwidth of ~680 MHz and a min-entropy around 1.By implementing the entropy source and the entropy extraction circuit on a single FPGA,the physical random number generation with a real-time rate of 100 Mbit/s was finally achieved.The NIST SP800-22 and DIEHARD randomness test results demonstrate that the obtained random sequences by the method successfully pass all tests.This indicates the random numbers has good random statistical characteristics.  相似文献   

13.
文中分析的FPGA系统以数字电路为核心,由数字信号发生器、低通滤波器、加法器、伪随机信号发生器、数字信号分析电路组成。并通过对系统方案的对比,以及电路、软件的分析与设计,针对数据率误差、伪随机码误差、眼图和眼幅度均进行了实测,检测结果显示误差值均在允许范围内。  相似文献   

14.
To overcome the degradation characteristics of chaos system due to finite precision effect and improve the sta-tistical performance of the random number,a new method based on 6th-order cellular neural network (CNN) was given to construct a 64-bit pseudo random number generation (PRNG).In the method,the input and output data in every iteration of 6th-order CNN were controlled to improved the performance of the random number affected by chaos degradation.Then the data were XORed with a variable parameter and the random sequences generated by a Logistic map,by which the repeat of generated sequences was avoided,and the period of output sequences and the key space were expended.Be-sides,the new method was easy to be realized in the software and could generate 64 bit random numbers every time,thus has a high generating efficiency.Test results show that the generated random numbers can pass the statistical test suite NIST SP800-22 completely and thus has good randomness.The method can be applied in secure communication and other fields of information security.  相似文献   

15.
基于拟蒙特卡洛方法的动态测量不确定度评定   总被引:1,自引:0,他引:1  
针对蒙特卡洛方法收敛速度较慢以及仿真结果不稳定的问题,本文从动态测量系统的特性出发,通过引进低偏差点集,产生空间分布较为均匀的拟随机数序列,代替蒙特卡洛方法中的伪随机数序列,提出一种基于拟蒙特卡洛方法的动态测量不确定度评定方法.实验结果表明,该方法与传统基于蒙特卡洛方法的动态测量不确定度评定方法相比,不但大幅度减少了抽...  相似文献   

16.
根据量子密码通信的实际需要,构建了一套嵌入式通信网络,实现动态数据传输。选用Spartan3s 1500MB开发板,采用软硬件协同设计方法,用EDK构建了一个基于Xilinx SOPC的网络通信系统,并设计了高斯随机数IP核来生成随机数,利用该系统可以在两台PC机和FPGA板上进行高速远距离的数据传输,实现量子密码通信中的数据协调。  相似文献   

17.
丘嵘  袁方 《现代电子技术》2012,35(11):64-67
为产生随机性能良好的伪随机序列,提出了一个新的变结构混沌系统。该混沌系统在一个开关函数控制下其系统结构随时间随机地转换,所产生的混沌信号是两个不同的混沌信号的混合,具有良好的复杂性。基于该变结构混沌系统设计了一种伪随机序列发生器,采用NIST标准和STS-2.0b测试套件对其产生的伪随机序列进行了统计性能测试,测试结果表明该伪随机序列发生器具有良好的随机性,可应用于计算机、通信、信息加密等领域中。  相似文献   

18.
基于乘同余法产生的伪随机序列的区间预测   总被引:3,自引:0,他引:3  
乘同余法是利用伸长与折叠操作来产生伪随机序列。在此基础上,提出了预测伪随机序列的区间预测方法,该方法不需要确定具体的预测模型,适应范围广,运算速度快。对几种乘同余法产生的伪随机序列实验结果表明,该方法能有效地预测此类伪随机序列,且在一定的信噪比上,预测性能仍然很好。  相似文献   

19.
介绍了用FPGA和AD9739产生可调中频噪声源的方法.采用Combined Tausworthe方法产生均匀分布,根据均匀分布和高斯分布之间的映射关系,使用折线逼近的方法产生基带的高斯分布.采用可配置HR IP核实现中心频率和带宽可调的中频噪声.FPCA产生的中频噪声源通过LVDS接口输出给AD9739.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号