首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 125 毫秒
1.
首先介绍了几种常见的步进电机脉冲分配器 ,然后采用VHDL(超高速集成电路硬件描述语言 )语言设计了基于CPLD(复杂可编程逻辑器件 )的脉冲分配器 ,本文所采用的设计方法符合电子系统设计的发展方向。  相似文献   

2.
基于GAL的步进电机控制电路   总被引:4,自引:0,他引:4  
卢瑞英 《电气传动》1997,27(5):24-25,31
本文介绍了由GAL器件实现的一种三相步进电机脉冲分配器,以及基于这种脉冲分配器的步进电机控制电路。  相似文献   

3.
基于CPLD的步进电机控制器   总被引:5,自引:0,他引:5  
于海东 《微电机》2004,37(4):41-43,54
介绍了目前常用的步进电机控制器,并采用VHDL语言设计了基于CPLD的控制器,实现了变频信号源与脉冲分配器的作用。  相似文献   

4.
基于FPGA控制的步进电机驱动设计   总被引:3,自引:1,他引:2  
随着微控制器技术的快速发展,特别是高性能可编程逻辑器件的出现,促进了步进电机控制技术的发展,使得步进电机驱动系统集成化设计的实现成为可能。本文根据设计要求进行了四相步进电机驱动系统的芯片选择和硬件电路设计,以FPGA为核心器件,集成了驱动和控制部分,大大简化了逻辑控制电路。设计过程采用模块化设计方法,用VHDL硬件描述语言对电路进行描述,采用Altera的QuartusII集成化工具进行了综合和布局布线,仿真,充分利用FPGA芯片的资源,优化程序,提高模块的工作频率,提高芯片的控制精度。文中对整个系统的架构及硬件电路和软件的实现都做了详细的介绍,最后通过仿真和实验分析验证了此控制方案的可行性。  相似文献   

5.
黄勇 《微电机》2008,41(5):56-59
为了使步进电机的使用简单化,改进应用性能,提出了基于PMM8714脉冲分配器的5相步进电机控制系统设计方案,描述了系统硬件组成和软件设计.试验表明,用PMM8714完成脉冲分配任务,减轻了对单片机资源的占用,整个系统运行平稳,可靠性好,有一定实用意义.  相似文献   

6.
结合步进电动机驱动器的特点提出了用PLD器件实现步进电机环形分配器逻辑功能部分的新方法。通过与其它方法的对比分析,阐述了用PLD器件实现步进电机环形分配器的优越性和实用性,并用实例说明了完整的设计过程及部分功能模块的ABEL-HDL语言源文件。  相似文献   

7.
计算机控制步进电机的方法已有很多。大多是直接通过可编程I/O口发生控制脉冲,有的甚至还发生相序变化的信号。这里要介绍的是另一种方法,即适当加进一些硬件电路,从而大大简化单机控制软件,以实现一台计算机可以同时控制多台步进电机运行的目的。一、总体方案我们设计的方案中除了可编程输入输出口外,还加进了一片可编程定时器(这里采用的是8253),另外用脉冲分配器(CH250)进行脉冲相位分配。整个工作情况如下: 由软件控制定时器发出脉冲序列,通过脉冲分配器传送给驱动电路,同时定时器发  相似文献   

8.
首先介绍了几种常见的步进电机脉冲分配器,然后采用VHDL(超高速集成电路硬件描述语言)语言设计了基于CPLD(复杂可编程逻辑器件)的脉冲分配器,本文所采用的设计方法符合电子系统设计的发展方向。  相似文献   

9.
在程序控制中,步进电机的应用日益广泛。例如,用来带动温控仪毫伏定值器中的多圈电位器,可实现升、降温的程控;如带动调速电路中的调速多圈电位器,则可实现转速的程控;用来连动传动机构,还可以实现光路系统的调焦和准直等。步进电机需要专用的驱动电路,其驱动框图如图1所示。图中的脉冲源实际上是一个振荡器,改变其振荡频率可调节步进电机的工作频率,即调节程控速度。 1.环形分配器环形分配器又叫脉冲分配器,是实现步进电机各相绕组按一定的顺序通电的控制电路。设计环形分配器常用的方法是根据步进电机不同通电方式,列出真值表,然后用卡诺图或逻辑代数简化得出逻辑电路图。  相似文献   

10.
富历新  董春 《微特电机》1998,26(4):35-37
1引言由步进电机构成的定位系统,由于价格较低,控制比较简单而在工业自动化中有着广泛的应用。实现步进电机的控制有各种不同的方法,但大多数采用如下方案之一构成。(1)基于大规模集成电路,如8253、8254,利用其内部的计数器功能,可通过编码器改变其脉冲输出频率和脉冲输出数,实现步进电机的速度和位置控制。这种方案对实现步进电机的加减速控制不很方便,需较高的编程技巧。(2)基于微处理器,如8031、8098,这种方案比第一种要灵活得多,可通过硬件实现多种功能。但微处理器所需的周边器件较多,控制程序一旦固化不易修改,软硬…  相似文献   

11.
使用A llegro公司的新一代的步进电动机的驱动芯片A3972SB构成小型步进电动机的硬件驱动部分,软件部分用VHDL语言对复杂可编程逻辑器件CPLD进行编程和仿真,产生A3972SB芯片所需的驱动脉冲时序,从而达到对步进电动机进行控制,大大简化了步进电动机控制的实现。  相似文献   

12.
基于单片机与FPGA的多重细分步进电动机驱动系统   总被引:1,自引:0,他引:1  
介绍了步进电动机细分控制,提出了基于单片机与FPGA控制的PWM细分驱动技术,利用单片机来设定电机的转速、转向.由FPGA产生阶梯脉冲形成阶梯形电压信号以控制步进电动机每相绕组在各时刻的电压,从而实现步进电动机转角的任意细分控制.利用VHDL语言编程实现了步进电动机256细分控制器的PWM模块、速度控制模块、数字比较模块等功能.  相似文献   

13.
混合式步进电动机伺服系统研究   总被引:5,自引:0,他引:5  
对二相混合式步进电动机矢量控制进行了理论研究,解决不同于一般种类电机的特殊问题,建立考虑电机非线性并充分利用电磁转矩和磁阻转矩的矢量控制方法.在此基础上,设计并实现了基于神经网络的二相混合式步进电动机矢量控制位置伺服系统,克服电机参数时变对控制效果的影响,提高了系统鲁棒性,使系统具有较高的性能.  相似文献   

14.
基于AT89C52单片机的步进电机控制系统设计   总被引:1,自引:0,他引:1  
孟武胜  李亮 《微电机》2007,40(3):64-66
提出了一个由AT89C52单片机控制步进电机的实例。可以通过键盘输入相关数据,并根据需要,实时对步进电机工作方式进行设置,具有实时性和交互性的特点。该系统可应用于步进电机控制的大多数场合。实践表明,系统性能优于传统的步进电机控制器。  相似文献   

15.
具有电流闭环的步进电机细分数字驱动控制是精细加工生产中采用的优先解决方案。通过对三相步进电机旋转磁场的空间电流矢量合成以及SPWM控制器电路原理的阐述,针对传统步进电机控制器细分控制存在的问题和原因,提出了基于空间电流矢量的SPWM细分数字驱动器的设计思想。经过实验测试,在新型细分数字驱动器的控制下,在电机相线圈两端得到了较好的正弦电流波形,进一步提高了空间旋转磁场的均匀度,使步进电机的控制精度有了较大的提高。  相似文献   

16.
8253在步进电动机运动控制系统中的应用   总被引:6,自引:0,他引:6  
介绍了通用定时/计数器8253在步进电机运动控制系统中的一种应用策略,针对步进电机的控制方案,简介了Intel8253的基本特性,并结合工程实际,提出了一种将Intel8253应用于步进电机伺服系统的控制技术,具体论述了如何对Intel8253进行编程,控制步进电机按控制规律运行。  相似文献   

17.
步进电机在现代控制中发挥着越来越重要的作用,为了保证产品的可靠性,步进电机的寿命的评估也变得尤为重要。基于Windows的评估系统能够很好的解决这个问题,但是由于Windows操作系统的实时性较差,而步进电机的控制需要精确的时序,所以由其产生的驱动信号时序无法满足要求。采用了Ardence公司的基于Windows的硬实时解决方案RTX ,并结合某项目步进电机寿命实验,总结出了Windows环境下RTX实时应用系统的设计方法与开发流程。实验证明基于RTX产生的驱动信号满足步进电机的运动要求,并且能够不受外部事件的干扰,重复性良好,说明该方法是实时的、稳定的、可靠的。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号