首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 125 毫秒
1.
电能质量监测技术是当前电力系统领域的研究热点.针对电网三相电压及电流信号的采集与处理,设计了电能质量检测装置的数据采集系统,系统采用DSP作为核心处理器,完成了多通道数据采集、数据处理、键盘显示、复杂可编程逻辑控制器CPLD与单片机外围接口等关键模块的软硬件设计.在硬件方面,重点介绍以DSP和CPLD为核心的电力参数检测电路以及CPLD和锁相环组成硬件同步采样电路;在软件方面进行了相关软件算法设计,同时介绍了电力参数检测的主程序.实验表明,系统具有响应速度快、精度高、实时性好的优点.  相似文献   

2.
曹竞华 《自动化仪表》1996,17(12):20-23
介绍定量给料电子皮带秤的结构和工作原理,详细叙述了以8031单片机为核心的称重控制仪的硬件电路构成及各通道、接口的工作过程和特点,并对软件设计中的主程序、定时中断服务程序及键盘中断服务程序和控制规则等作了具体说明。  相似文献   

3.
针对目前空气炮控制存在滞后性、时间不精确性及成本太高、性价比不高等问题,提出了一种基于单片机的空气炮自动控制仪的设计方案,介绍了控制仪总体结构、主要电路的实现及软件设计等。该空气炮自动控制仪以AT89C52单片机为控制核心,采用键盘、液晶显示器、数据存储器等实现控制仪的参数输入、显示、存储等功能,完全能满足实际的现场控制需要。  相似文献   

4.
介绍了一种采用DM642和CPLD相配合的扩展键盘方法。CPLD管理键盘电路中的芯片逻辑,DM642的外部中断监控按键的状态。简单阐述了键盘的分类,给出系统的硬件电路原理图,在CCS软件中调试程序方法。仿真结果表明,设计可行,达到了预期效果。  相似文献   

5.
介绍了一种基于单片机和CPLD联合控制的步进电机控制系统.系统通过单片机发出控制信号来设定电机的转速和方向.CPLD将单片机发出的控制信号转换成电机的实际控制信号,并通过驱动放大电路来实现对步进电机速度和方向的精确控制.系统采用CPLD大大简化了系统的外围硬件电路结构,提高了系统抗干扰性能,缩短了设计周期.  相似文献   

6.
针对基于单片机的智能仪器仪表类产品的需求和特点,设计并实现了一款基于STC89C52单片机的微电脑自动铡皮机控制系统,该控制系统包括中文人机界面、键盘控制、LCD液晶显示等功能模块。该系统利用了结构化设计方法,在STC89C52单片机硬件平台上实现了人机操作界面的液晶显示,通过软件编程可以实现参数的输入、显示、修改、保存和传递等功能,独特的键盘电路的设计节约了硬件防抖电路的开销,该系统已投入实际应用。  相似文献   

7.
介绍一种用于单片机应用系统的通用接口电路,该电路采用8255作为接口芯片,可外接键盘、显示器和打印机,以构成最简单的单片机应用系统.重点介绍了硬件电路的组成和程序设计.  相似文献   

8.
本设计是一款基于STC单片机与CPLD控制的多功能电子台历。该系统采用了CPLD译码锁存技术,结合了LCD液晶显示技术、语音播报技术、温度采集技术和键盘技术,使该系统除具备传统的时间显示和调整功能外,还兼备了语音报时、温度测量和记事簿的功能。本文主要从硬件上实现各种技术的融合,同时也提出了软件设计的思想。  相似文献   

9.
随着科学技术的发展,工业生产线中对设备的要求也越来越高,单片机的多参数控制仪的出现无疑为其做出巨大的贡献。该控制仪是由控制器、单片机以及多路并联分时控制电路组成的一种基于单片机的多参数控制仪。下面本文就以控制仪在试验装置中的系统为例,来分析系统的多路并联分时控制电路的原理及设计方法,并给出设计的选取,为基于单片机的多参数控制的设计和应用提供参考。  相似文献   

10.
一种小型直流电机控制系统硬件设计方案   总被引:3,自引:0,他引:3  
设计了直流电机转速闭环控制系统的硬件电路,通过STC12C5A单片机输出PWM信号,驱动L298N功率变换模块,实现对电机电枢电压的控制.设计了系统电源电路,单片机系统显示及键盘接口电路,系统驱动电路,速度检测电路,进行了直流电机的驱动实验.实验结果表明,所设计的直流电机转速闭环硬件系统具有良好的控制性能,有一定工程应用价值.  相似文献   

11.
采用MAX Ⅱ系列CPLD器件来实现LCD控制器。由于MAX Ⅱ CPLD是唯一具有用户闪存(UFM)的CPLD,因此用一片CPLD芯片就可完成LCD全部的时序控制、显示控制等功能,这样无需再加入其它的接口器件,使微处理器和LCD显示模块之间的接口电路变得更加简洁。本文对LCD控制器的硬件及软件都给出了较详细的说明,并在EPM240ZM上实现了LCD控制器的功能。  相似文献   

12.
基于CPLD的双屏结构液晶控制器的研究与设计   总被引:3,自引:2,他引:3  
可编程逻辑器件CPLD体积小功能强大,Verilog HDL语言简练,设计思想、电路结构和逻辑关系清晰,本文着重介绍使用Verilog设计CPLD实现双屏显示液晶控制器的功能。  相似文献   

13.
该文详细介绍了基于CPLD/FPGA技术的视频图像采集与控制的软硬件设计方法及实现方案。在该设计中,CPLD/FP-GA的主要功能是完成视频图像采样,对图像信号进行动态检测。本设计中的主控制器采用了ALTERA公司的CPLD芯片EPM7128SLC84,采用VHDL作为硬件描述语言,但是所编写的VHDL源程序既适用于CPLD器件,又适用于FPGA器件。  相似文献   

14.
提出了一种基于通用串行总线(USB)的阵列声波测井数据采集系统,该采集系统的构成模块包括模拟信号处理、高速数据采集子系统、采集控制器、串行数据和控制接口以及主机接口等;以CPLD担当数据采集控制器,采集深度、速率等参数可根据实际需要动态设置,从驱动程序初始化和卸载、设备启动、设备控制和数据读写等方面详细分析了用于数据采集系统的USB驱动程序设计,基于14位ADC的采集电路实测信噪比不小于65dB;该采集系统可用于井下阵列声波测井仪调试,以及实验室声波换能器性能测试。  相似文献   

15.
基于CPLD的风光互补发电阀控蓄电池监测系统   总被引:2,自引:0,他引:2  
提出了一种风光互补发电系统中阀控蓄电池组智能监测系统,针对发电机系统的电磁干扰,采用复杂可编程逻辑器件(CPLD)作为主控器件。数据采集设计了模块化的分时采集方式,数据处理采用了改进的二次中值滤波算法,实现了以EPM7128SLC84为控制核心的系统硬件设计,给出了CPLD内部模块设计和部分模块的时序仿真。试验结果表明,蓄电池智能监控器具有较强抗干扰能力和适应多种电池组功率配置。  相似文献   

16.
基于DSP和CPLD的液晶显示控制器的设计   总被引:2,自引:1,他引:2  
介绍了一种基于DSP TMS320VC33和CPLD的液晶显示控制器的实现方法,利用TMS320VC33实现对显示数据的接收及处理,用一片CPLD芯片XC95288XL实现译码、显示时序的产生、显存的读写轮换控制等功能;该设计方法可以实现系统的快速性,并且CPLD产生的时序具有很好的可重用性;应用结果表明,该方案可以很好的实现文字、图形等的显示,具有一定的实用性和推广价值.  相似文献   

17.
针对某型改装水陆坦克增加的火控系统电路板的信号特点,研制了火控系统电路板检测系统;该系统采用工控PC机为控制核心,利用CPI-D的逻辑单元与外部器件相结合,实现电路板的故障检测并提出维修建议;该检测系统经列装部队试用表明,能够满足电路板的实时检测要求,具有良好的军事和经济效益,目前该检测系统已通过技术鉴定。  相似文献   

18.
在控制系统中进行现场控制的PC/104总线计算机往往需要以多种方式、同多种节点通信。本文介绍了按照PC/104标准开发带有四个串行口(两个RS-232、两个RS-485)和一个CAN总线接口的多功能、通用型扩展通信模块的方法,设计中所有的控制逻辑都由一块CPLD实现,CAN控制器与104总线之间的时序也由CPLD调整。  相似文献   

19.
适用于多梯群控系统的主控制器设计与实现   总被引:1,自引:0,他引:1  
本文介绍了一种基于DSPTMS320LF2407A的新型电梯群控系统主控制器的设计。其中该系统采用分布式多路CAN总线网络结构,主控制器扩展了三路独立的CAN网络接口,并扩展有USB、以太网口等。该控制器采用的是DSP CPLD的核心架构。DSP技术和CPLD技术有效的结合,实现了系统的硬件设计和修改软件化,提高了系统的运行速度和可靠性。  相似文献   

20.
为提高智能机器人环境感知能力和避障能力,降低智能机器人运行中碰撞障碍物的概率,设计了一种基于CPLD控制模块的智能机器人控制系统。以CPLD控制器为核心,调整A/D模拟采集接口模块信号的连接形式,并设置与PWM寄存器相关的连接参数;给出了主机智能程序的决策流程,并适时调整PWM寄存器的整流参数,提升控制指令执行向量的匹配精度,以实现对智能机器人运动轨迹的精确控制。与传统机器人控制系统相比,基于CPLD控制模块的智能机器人能够更准确地感知外界环境的变化,精确规避障碍物。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号