首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
基于现场可编程(FPGA)技术和硬件描述语言VHDL的设计和综合,通过自顶向下的设计方法和模块化设计思想,在OuartusⅡ环境下能定制、仿真、下载验证和实现CPU功能。通过VHDL语言定制了运算器ALU模块和调用宏模块定制了RAM模块,介绍了基于FPGA的CPU设计方法,并通过初始化程序进行验证,实现了基于FPGA的CPU功能,表明基于FPGA技术在设计CPU核和大规模集成电路设计方面可根据实际情况定制,具有灵活性、可靠性和可扩展性。  相似文献   

2.
一种基于FPGA的CPU设计   总被引:3,自引:1,他引:2  
基于现场可编程(FPGA)技术和硬件描述语言VHDL的设计和综合,通过自顶向下的设计方法和模块化设计思想,在QuartusⅡ环境下能定制、仿真、下载验证和实现CPU功能.通过VHDL语言定制了运算器ALU模块和调用宏模块定制了RAM模块,介绍了基于FPGA的CPU设计方法,并通过初始化程序进行验证,实现了基于FPGA的CPU功能,表明基于FPGA技术在设计CPU核和大规模集成电路设计方面可根据实际情况定制,具有灵活性、可靠性和可扩展性.  相似文献   

3.
提出了一种将堆栈空间划分为任务栈和中断嵌套栈的设计结构,使堆栈空间最小化。采用VHDL硬件语言,在FPGA设备上模拟实现了具有自动检验功能的栈空间管理器。栈空间管理器由不同功能的逻辑模块组成,主要阐述了状态控制逻辑模块和地址产生逻辑模块的设计方法。  相似文献   

4.
通过对数字频率计系统的设计,介绍了基于VHDL语言的数字系统层次化设计方法.首先将数字系统按功能划分为不同的模块,各模块电路的设计通过VHDL语言编程实现,然后建立顶层电路原理图.使用MAX+PLUS Ⅱ开发软件完成设计输入、编译、逻辑综合和功能仿真,最后在CPLD上实现数字系统的设计.结果表明,使用这种设计方法可以大大地简化硬件电路的结构,具有可靠性高、灵活性强等特点.  相似文献   

5.
EDA技术综合了现代电子技术和计算机技术的最新研究成果,其设计采用自顶向下的设计方法,通常采用硬件描述语言进行电子电路设计;VHDL语言以其强大的建模和逻辑综合功能成为EDA技术中应用最广泛的硬件描述语言之一。基于VHDL设计了交通信号灯。  相似文献   

6.
VHDL设计中电路优化问题探讨   总被引:2,自引:1,他引:2  
近年来,随着集成电路技术和EDA技术的不断发展,集设计、模拟、综合和测试功能为一体的VHDL语言,已作为IEEE标准化的硬件描述语言。由于其在语法和风格上类似于现代高级汇编语言,具有良好的可读性,描述能力强,设计方法灵活,易于修改,又具有可移植性,可重复利用他人的IP模块(具有知识产权的功能模块)等诸多优势而成为EDA设计方法的首选。VHDL设计是行为级设计,所带来的问题是设计者的设计思考与电路结构相脱节。设计者主要是根据VHDI。的语法规则,对系统目标的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译和优化,并通过仿真工具进行逻辑功能仿真和系统时延的仿真。实际设计过程中,  相似文献   

7.
基于FPGA多通道同步数据采集系统设计   总被引:6,自引:0,他引:6  
结合数据采集在往复式压缩机在线监测系统中的应用,设计了以FPGA(现场可编程门阵列)为核心的逻辑控制模块的多通道数据采集系统。整个采集系统可实现16路最大工作频率为100kHz的模拟信号的采集。设计中采用了自顶向下的方法,将FPGA依据逻辑功能划分为几个模块,详细论述了各模块的设计方法。各逻辑模块设计使用VHDL语言,并在ISE中完成软件设计和仿真。  相似文献   

8.
刘连松  郝兵  马哲 《微处理机》2009,30(6):18-19,23
介绍了一个与intel MD80C31指令兼容的8位CPU核的设计,分析了系统结构和工作原理以及设计构思,最后简要介绍了一个用VHDL语言实现的通用仿真验证软件.该设计方式适用于大多数嵌入式核正向设计,逻辑综合能有效地提高数字系统的设计效率.  相似文献   

9.
数字逻辑系统的设计离不开计算机辅助设计CAD工具的帮助,尤其是VHDL硬件描述语言。该语言采用模块化的设计方法,自顶向下完成全部设计和综合过程,最终生成印刷电路板或专用集成电路,论述了高级语言VHDL的行为模块描述和结构模式描述,介绍了数字逻辑系统的设计方法和步骤,继而通过一个简单设计实例讨论了VHDL语言模块化的综合描述过程。  相似文献   

10.
基于CPLD的高速脉冲信号采集系统设计   总被引:1,自引:0,他引:1  
介绍了一种基于CPLD(复杂可编程逻辑器件)的高速脉冲信号采集系统的设计与实现方案.该系统最大的特点是对离散脉冲信号的幅值进行采样,采样过程完全由CPLD控制,无需CPU干预.采用VHDL语言与模块化的设计思想设计了A/D采集控制模块、数据存储控制模块、微处理器接口模块,实现了多个串行ADC的同步脉冲采样与数据的实时存...  相似文献   

11.
通用16位CPU的设计与实现   总被引:4,自引:0,他引:4  
张楷  汤志忠 《计算机工程与应用》2003,39(32):116-117,181
随着计算机技术的飞速发展,传统的计算机组成与原理以及计算机系统结构教学用计算机已经不能适应当前的要求。该文详细介绍了新一代面向教学实验的通用16位CPU设计过程。首先决定CPU的构架,经过指令集设计,数据通路和控制通路的设计,最后通过FPGA实现通用的16位CPU。实验人员可以在这个通用CPU设计平台上进行计算机组成与原理的各个部件实验,CPU内核的设计验证以及整个计算机系统的实验。  相似文献   

12.
张杰 《微计算机信息》2006,22(35):155-157
从CPU的总体结构到局部功能的实现采用了自顶向下的设计方法和模块化的设计思想,利用Xilinx公司的SpartanII系列FPGA,设计实现了八位CPU软核。在FPGA内部不仅实现了CPU必需的算术逻辑器、寄存器堆、指令缓冲、跳转计数、指令集,而且针对FPGA内部的结构特点对设计进行了地址和数据的优化。  相似文献   

13.
A new implementation of the ST20-C2 CPU architecture involves an eight-stage pipeline with hardware support to execute up to three instructions per cycle. The design operates up to 520 MHz at 1.8V, among the highest reported speeds for a synthesized CPU core.  相似文献   

14.
目前国家不断推进的国产自主可控信息系统建设,其核心国产计算机系统由于技术成熟度低、市场推广晚等原因,暴露出可靠性低、稳定性差的问题,直接导致系统功能无法成功应用;围绕国产化计算机系统的并行冗余架构开展研究,通过计算机系统架构的软硬件设计,以及高速缓存一致性架构、高速互联总线和三状态转换机制方法的应用,基于国产CPU 并行冗余计算机系统,可以有效消除备份计算机系统进行当班切换时,存在的切换时间延时和切换过程数据丢失的问题;通过试验验证,该系统可以完成计算机系统中CPU处理器和功能桥片故障模式的容错处理,并保障信息数据的完整性和实时性,有效提高设备中计算机系统的工作可靠性与稳定性。  相似文献   

15.
彭元喜  邹佳骏 《计算机应用》2010,30(7):1978-1982
X型DSP是我们自主研发的一款低功耗高性能DSP。对X型DSP的CPU体系结构进行了深入研究,在详细分析X型DSP的ALU部件和移位器部件相关指令基础上,对ALU与移位器部件进行了设计与实现。采用Design Compiler综合工具,基于SMIC公司0.13um CMOS工艺库对ALU移位部件进行了逻辑综合,电路功耗共为4.2821mW,电路面积为71042.9804m2,工作频率达到250MHz。  相似文献   

16.
随着计算机技术的不断发展,传统架构下的CPU处理能力已无法应对日益多样化的计算处理任务,新型异构计算体系也存在可提升的空间.分析了以“应用决定结构,结构决定效能”为理念,基于多维重构函数化结构与动态多变体运行机制的拟态计算(Mimicry Computing,MC)体系架构,利用FPGA硬件可编程、动态可重构和功耗低的特性,设计了一种基于FPGA的拟态计算服务器,并阐明了该服务器的核心电路设计与关键技术实现.  相似文献   

17.
基于FPGA平台设计并实现了一种五级流水线CPU.它参考MIPS机将指令的执行过程进行抽象,把指令分成取值、译码、执行、访存、写回五级流水处理.首先设计系统级的结构,决定CPU的结构和指令系统.其次对整体结构进行分解,确定模块与模块之间的信号连接,采用VHDL实现CPU.最后通过Debug-controller调试软件对五级流水线CPU进行调试.结果表明了所设计的流水线CPU的有效性.  相似文献   

18.
一种分片式多核处理器的用户级模拟器   总被引:1,自引:0,他引:1  
黄琨  马可  曾洪博  张戈  章隆兵 《软件学报》2008,19(4):1069-1080
随着片上晶体管资源的增多和互连线延迟的加大,分片式多核微处理器已成为多核处理器设计的新方向.为了对这种新型处理器进行体系结构的深入研究和设计空间的探索,设计并实现了针对分片式多核处理器的用户级多核性能模拟器.该多核模拟器在龙芯2号单处理器核的基础上,完整地模拟了基于目录的Cache一致性协议和存储转发式片上互联网络的结构模型,详细地刻画了由于系统乱序处理各种请求应答和请求之间的冲突而造成的时序特性,可以通过运行各种串行或并行的工作负载对多核处理器的各种重要性能指标加以评估,为多核处理器的结构设计提供了快速、灵活、高效的研究平台.  相似文献   

19.
系统级体系结构仿真器的研究与实现   总被引:2,自引:0,他引:2  
系统级体系结构仿真器是可以作为一个虚拟目标机器运行的软件系统 ,它可以实现对单 (多 )处理器、内存系统、Cache和外部设备等子系统的功能模拟 .在体系结构设计和操作系统开发等工程中 ,体系结构仿真器有着广泛的应用 .本文介绍了一个基于 MISC CPU和 SPARC体系结构的系统级仿真器 FMCS  相似文献   

20.
系统级体系结构仿真器是可以作为一个虚拟目标机器运行的软件系统,它可以实现对单(多)处理器、内存系统、Cache和外部设备等于系统的功能模拟。在体系结构设计和操作系统开发等工程,体系结构仿真器有着广泛的应用。本文介绍了一个基于MISC CPU和SPARC体系结构的系统级仿真器FMCS。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号