首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到10条相似文献,搜索用时 93 毫秒
1.
During the chemical mechanical polishing process (i.e., CMP for short), it is expected to attain the requirement of global planarization. However, the stress concentration, which occurred when approaching the wafer edge, has resulted in over-grinding. The increasing material removal rate has also contributed to the wafer’s nonuniformity. In this paper, a retaining ring surrounding the wafer carrier was added to the conventional CMP mechanism in order to improve the over-grinding phenomenon and avoid the wafer sliding from the carrier as much as possible. The revolutions of the wafer and the pad were assumed to be the same, and the force forms of the carrier and the retaining ring were axisymmetric uniformly-distributed. In addition, when the principle of minimum potential energy was applied, a two-dimensional axisymmetric quasi-static finite element model for CMP including the carrier, the retaining ring, the film, the wafer and the pad could be established. Following this model, the von Mises stress distribution of the wafer surface without a retaining ring was analyzed to verify the model. The effects of the gap between the ring and the pad and the ratio of the ring load and the carrier load on the stress and the wafer’s nonuniformity were investigated. The results indicated that the von Mises stress distribution of the wafer surface was almost uniform near the wafer center, and the maximum value appeared near the edge. The value decreased as the edge was approached, but it rose again very close to the edge. Besides, the wafer’s nonuniformity would be prohibited while the gap and the load were designed within the certain range to supply the retaining ring.  相似文献   

2.
In this paper, relative velocity at a given point on the wafer was first derived. The revolutions of wafer and pad are assumed the same and the axisymmetric uniformly distributed pressure form is given. Thus, a 2D axisymmetric quasic-static model for chemical-mechanical polishing process (CMP) was established. Based on the principle of minimum total potential energy and axisymmetric elastic stress-strain relations, a 2D axisymmetric quasic-static finite element model for CMP was thus established. In this model, the four-layer structures including wafer carrier, carrier film, wafer and pad are involved. The von Mises stress distributions on the wafer surface were analysed, the effects of axial, hoop, radial and shear stresses to von Mises stress and the effects of axial, hoop, radial and shear strains to deformation of the wafer were investigated. The findings indicate that near the wafer centre, von Mises stress distribution on the wafer surface was almost uniform, then increased gradually with a small amount. However, near the wafer edge, it would decrease in a large range. Finally, it would increase dramatically and peak significantly at the edge. Besides, the axial stress and strain are the dominant factors to the von Mises stress distributions on the wafer surface and the wafer deformation, respectively.  相似文献   

3.
In this study, a three-dimensional finite element model was established to perform modal analysis of the chemical mechanical polishing process. The contact boundary conditions were considered in the wafer and pad, and the influence of the static load exerted on the carrier was considered in order to investigate dynamic behaviour of the wafer. The analysis was in two steps. Firstly, a given pressure was exerted on the carrier and the geometric nonlinear effect and large deformation theory were used to carry out static analysis. Secondly, the results of the analysis were used to perform modal analysis of the wafer. The results gave way to four conclusions. (1) Due to the offset configuration of the wafer and pad, the von Mises stress distribution was asymmetric. Therefore, the stress on the wafer appeared to be almost uniform near its centre, goes through a maximum near the edge, and decreased as the edge is approached. This tendency is similar to that of the removal rate profile experiment, which proved that the proposed finite element model for CMP is acceptable. (2) Due to the extremely thin thickness of the film, wafer and pad, most mode shapes are predominant in out-plane deformation. Furthermore, since the y-axis is symmetric in the three-dimensional model, there were double roots in some modes. (3) When the load was larger, the tangent stiffness and the natural frequency would also be reduced. The pressure changes did not have much affect on mode shape. (4) Since the soft materials of the pad and film have significantly different Young’s modulus’ than hard materials, the natural frequencies of harder materials for the pad and film increase.  相似文献   

4.
To establish a 2D axisymmetric quasi–static finite element model during the chemical mechanical polishing process, revolutions of the wafer and the pad were assumed to be the same, the axisymmetric uniformly distributed pressure form was given, and both the wafer–pad interface and wafer–film interface were considered as contact boundaries. Next, the height of the contact interface between the carrier and the film near the zone of nonuniform von Mises stress distribution of the wafer surface was changed to the form of a Fourier sine series. Finally, a quadratic programming method was utilized to solve the coefficients of the Fourier sine series and then an optimal morphology in terms of Fourier sine series under the condition of minimum nonuniformity of the wafer surface was achieved. The result found that the nonuniformity was reduced remarkably and its improved rate reaches 88.45% under the optimal surface of the carrier.  相似文献   

5.
A theoretical model based on two-body contact theory is established to simulate the contact pressure distribution arising from wafer curvature which is caused by film stress during CMP process. Both wafer and pad deformations during the contact process are considered. The profiles of the contact pressure distribution for wafers with different curvature radius are simulated. The influences of wafer curvature on mean removal rate and within wafer removal rate nonuniformity (WIWNU) are simulated and compared with the experimental data. According to the two-body contact model, when the pad is in contact completely with the wafer, the profile of the contact pressure has almost the same trend whether the wafer has an upward or a downward curvature. The mean value of the contact pressure will increase with increasing of radius of downward curvature. WIWNU will decrease with increasing pre-polish wafer bow from concave (upward curvature) to convex (downward curvature). The results from the simulation correlated with the experimental data and demonstrated the validity of the model. The results are helpful for controlling and reducing the wafer to wafer removal rate nonuniformity and within wafer removal rate nonuniformity in CMP.  相似文献   

6.
Contact stress uniformity is a key issue for the performance of wafer-level chemical–mechanical planarization (CMP) and has been extensively studied during the past two decades. However, contact-stress-related issues are not consistently presented in the literature. In addition, a number of topics remain to be addressed in wafer-level contact analysis. The objective of this article is in twofold. First, it aims to provide a more detailed discussion and stress analysis of the inconsistent issues, including the definition of CMP uniformity, the stress indicator, and the effect of carrier films. Second, contact stress analyses of several important but rarely touched problems are also investigated. Topics to be investigated include: the effects of material hyperelasticity, the effects of a grooved pad, the effects of wafer warpage due to residual stress, and finally the possible advantages of a multizone loading manner. For the first category, this work proposes a new definition of CMP uniformity based on the width of the relatively flat zone. In addition, the contact stress distribution in terms of both von Mises and normal stress are also investigated and their relationship is qualitatively established. Furthermore, the importance of the carrier films is reinvestigated, and the conclusion indicates that their importance is not as significant as previously reported. The hyperelasticity of pad material primarily affects the pad deformation. The presence of pad grooves results in a net increase of contact stress, but the global tendency is unchanged. A warped wafer significantly reduces the contact stress uniformity. By contrast, the multizone loading manner can effectively improve the uniformity of stress distribution. Finally, the stress analyses presented are integrated with a graphic user interface to form a CMP computer-aided design system for further applications. The issues addressed and the conclusions obtained are important for improvement of CMP performance.  相似文献   

7.
为了获得单晶硅片化学机械抛光过程中护环对接触压强分布的影响规律,根据有护环化学机械抛光实际出发,建立了抛光过程的接触力学模型和边界条件,利用有限元的方法对有护环抛光接触状态接触压强分布进行了计算和分析,并利用抛光实验对计算获得结果进行了验证;获得了硅片与抛光垫间的接触表面压强分布形态,以及护环几何参数对压强分布的影响规律;结果表明护环抛光接触压强的分布也存在不均匀性,而且在硅片外径邻域内接触压强最大,这些也能导致被加工硅片产生平面度误差和塌边,选择合理地护环几何参量和负载比,可以改善接触压强场分布的均匀性。  相似文献   

8.

During the Chemical mechanical planarization (CMP), the pad conditioning process can affect the pad surface characteristics. Among many CMP process parameters, the improper applied load on the conditioner arm may have adverse effects on the polyurethane pad. In this work, we evaluated the pad surface properties under the various conditioner arm applied during pad conditioning process. The conditioning pads were evaluated for surface topography, surface roughness parameters such as Rt and Rvk and Material removal rate (MRR) and within-wafer non-uniformity after wafer polishing. We observed that, the pad asperities were collapsed in the direction of conditioner rotation and blocks the pad pores applied conditioner load. The Rvk value and MRR were founded to be in relation with 4 > 1 > 7 kgF conditioner load. Hence, this study shows that, 4 kgF applied load by conditioner is most suitable for the pad conditioning during CMP.

  相似文献   

9.
Here we use two-dimensional models of fluid film lubrication and contact mechanics to calculate the contact stress and fluid (i.e., slurry) pressure distributions on the wafer?Cpad interface in chemical mechanical planarization (CMP). In particular, the effective rigidity of the wafer (determined by the wafer carrier structure), the retaining-ring width and its back pressure are taken to be the design parameters. The purpose is to study the synergetic effects of such parameters on the contact stress uniformity, which directly affects the spatial uniformity of the material removal rate on the wafer surface. Our numerical results indicate that, for a given wafer rigidity, one may choose the retaining-ring width and back pressure to minimize the contact stress non-uniformity (NU). Also, the resulting minimum NU decreases with the effective wafer rigidity, suggesting that it is beneficial to use a soft (e.g., floating-type) wafer carrier. Moreover, for a soft wafer carrier, it is demonstrated that using a multi-zone wafer-back pressure profile is even more effective in reducing NU.  相似文献   

10.
Chemical Mechanical Polishing (CMP) refers to a material removal process done by rubbing a work piece against a polishing pad under load in the presence of chemically active abrasive containing slurry. The CMP process is a combination of chemical dissolution and mechanical action. The mechanical action of CMP involves hydrodynamic lubrication. The liquid slurry is trapped between the work piece (wafer) and pad (tooling) forming a lubricating film. For the first step to understand the mechanism of the CMP process, hydrodynamic analysis is done with a semiconductor wafer. Slurry pressure distribution, resultant forces and moments acting on the wafer are calculated in typical conditions of the wafer polishing, and then nominal clearance of the slurry film, roll and pitch angles at the steady state are obtained.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号