首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   131篇
  免费   10篇
  国内免费   2篇
电工技术   8篇
综合类   2篇
金属工艺   3篇
机械仪表   4篇
武器工业   1篇
无线电   97篇
一般工业技术   10篇
原子能技术   2篇
自动化技术   16篇
  2021年   1篇
  2020年   2篇
  2019年   5篇
  2018年   1篇
  2017年   7篇
  2016年   3篇
  2015年   10篇
  2014年   4篇
  2013年   5篇
  2012年   3篇
  2011年   6篇
  2010年   6篇
  2009年   8篇
  2008年   9篇
  2007年   13篇
  2006年   11篇
  2005年   6篇
  2004年   5篇
  2003年   10篇
  2002年   5篇
  2001年   4篇
  2000年   5篇
  1999年   3篇
  1998年   2篇
  1997年   1篇
  1996年   3篇
  1994年   2篇
  1993年   1篇
  1992年   1篇
  1987年   1篇
排序方式: 共有143条查询结果,搜索用时 250 毫秒
91.
High‐speed I/O channels require adaptive techniques to optimize the settings for filter tap weights at decision feedback equalization (DFE) read channels to compensate for channel inter‐symbol interference (ISI) and crosstalk from multiple adjacent channels. Both ISI and crosstalk tend to vary with channel length, process, and temperature variations. Individually optimizing parameters such as those just mentioned leads to suboptimal solutions. We propose a joint optimization technique for crosstalk cancellation (XTC) at DFE to compensate for both ISI and XTC in high‐speed I/O channels. The technique is used to compensate for between 15.7 dB and 19.7 dB of channel loss combined with a variety of crosstalk strengths from 60 mVp‐p to 180 mVp‐p adaptively, where the transmit non‐return‐to‐zero signal amplitude is a constant 500 mVp‐p.  相似文献   
92.
The temperature‐dependent, crosstalk‐induced, noise voltage waveform and its frequency spectrum, in capacitive coupled single‐walled carbon nanotube (SWCNT) bundle interconnects, at the far end of victim line, have been analyzed at 22‐nm technology node. A similar analysis is performed for copper interconnects and a comparison is made between the results of these two analyses. The SPICE simulation results reveal that at temperature variations ranging from 300 to 500 K, compared with conventional metal (copper) conductors, crosstalk noise voltage levels in CNT, at the far end of victim line, are significantly low. Simulated results further reveal that, with rise in interconnect temperatures, compared with copper interconnects, coupled interconnects of SWCNT bundle filter more noise frequency components. Based on these comparative results, an improved model for extracting inter‐bundle, real life, coupling capacitances between SWCNT bundles has been proposed. Copyright © 2014 John Wiley & Sons, Ltd.  相似文献   
93.
This paper presents a timing-driven MultiChip Module (MCM) routing algorithm considering crosstalk, which maximizes routing density while minimizing vias and total wire length. The routing algorithm allows a more global solution as well as the incorporation of more accurate crosstalk modeling. In addition, various time domain characteristics of MCM are analyzed in this contribution. A deembedding technique for the S-parameter calculation is presented and functions for the time-domain signals are investigated in order to decrease the computation time. Routing results show that the proposed algorithm consistently produces the better results than other previously proposed routers while offering flexibility for future incorporation of noise and delay constraints.  相似文献   
94.
The integration of organic memory diodes, based on photochromic transduction layers, into a simple cross-bar passive matrix array is presented. We show that the high rectification ratios of these diodes successfully suppresses crosstalk effects in these devices, thus avoiding the necessity to integrate additional diodes or transistors. We compare the passive matrix devices’ performance to that of non-crossbar reference devices and discuss their performance limitations related to edge effects, which are a consequence of the fabrication process. Finally, we show that the analogue response of the current density to incremental switching of the transduction layer can be used for multi-level programming of the memory elements with no inherent limit to the number of intermediate states. We demonstrate the successful read-out of 8 current levels in a prototype device via both optical and electrical writing procedures.  相似文献   
95.
The crosstalk caused by oblique incident ray on a PIN detector array is analyzed.An integral expression of crosstalk factor in relation to incident angle and structure parameters is deduced and the correctness of the deduction is tested and verified.  相似文献   
96.
Transient surges in one of the overhead conductors, due to direct lightning strikes, causes crosstalk [C.R. Paul, Analysis of Multiconductor Transmission Lines, John Wiley & Sons, Inc., 1994; C.R. Paul, Introduction to Electromagnetic Compatibility, John Wiley & Sons, Inc., 1992] in other adjacent conductors. It is a common electromagnetic interference (EMI) phenomenon observed in power lines, communication lines and electrified railway lines. In this paper we investigate the crosstalk in multiconductor transmission lines (MTLs) above finitely conducting ground as a function of ground conductivity, heights of the receptor conductor and the terminal loads. For receptor conductor close to the ground, compared to the emitter conductor [C.R. Paul, Analysis of Multiconductor Transmission Lines, John Wiley & Sons, Inc., 1994; C.R. Paul, Introduction to Electromagnetic Compatibility, John Wiley & Sons, Inc., 1992], the decrease in ground conductivity increases the crosstalk peak currents at near end (end near to the source in the emitter conductor) of the receptor conductor, but at the far end it could either increase or decrease depending upon the line height and ground conductivity.  相似文献   
97.
This research work presents a novel circuit for simultaneous reduction of power, crosstalk and area using bus encoding technique in RC modeled VLSI interconnect. Bus-invert method is used to reduce inter-wire coupling, which is actually responsible for crosstalk, delay and power dissipation in coupled interconnects. The proposed method focuses on simplified and improved encoder circuit for 4, 8 and 16 coupled lines. In past, the researchers developed encoders that usually focused on minimizing power dissipation and/or crosstalk, thereby paying heavy penalty in terms of chip area. However, the proposed encoder and decoder while significantly reducing crosstalk demonstrates an overall reduction of power dissipation by 68.76% through drastically limiting switching activity. Furthermore, while reducing the complexity, chip area and transistor count of the circuit is reduced by more than 57%.  相似文献   
98.
利用激光诱导电流技术研究了InGaAs台面探测器的相邻探测器间的串音和光敏感区。用分子束外延方法生长掺杂InGaAs的PIN InP/InGaAs/InP 外延材料,制备了256×1正照射台面InGaAs线列探测器。测试结果表明,InGaAs线列探测器相邻探测器间没有串音,虽然台面结构周围吸收层已被腐蚀,但因为少数载流子的侧向收集,扩大了有效光敏感区。  相似文献   
99.
数字电路集成度的提高特别是近年来系统芯片的出现,信号线之间的间距不断缩小,使得信号线间容易发生串扰.文章首先对串扰故障模型,特别是信号线间容性和感性耦合所产生的串扰及其特征进行了讨论,其次针对数字电路中串扰故障的检测,研究了基于路径敏化的测试矢量生成方法,给出了方法的实现步骤.  相似文献   
100.
随着发展的需要,高速数字电路PCB板上信号速度越来越快,由于差分信号有较强的抗干扰能力,因此用的得越来越普遍。但是,随着上升时间的进一步减小,差分信号的信号完整性问题也变得越来越重要。由于双绞的结构在一定程度上使得干扰相互抵消,从而提高系统对串扰和辐射发射的抵抗能力,因此,近两年来有一种新的双绞差分传输线被引入到布线中。本文从理论上分析了双绞差分线结构减小串扰和辐射发射的机理,并且通过建模仿真验证了其正确性.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号