首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   101篇
  免费   4篇
电工技术   4篇
综合类   8篇
化学工业   2篇
金属工艺   7篇
机械仪表   24篇
无线电   37篇
一般工业技术   2篇
原子能技术   1篇
自动化技术   20篇
  2023年   1篇
  2022年   3篇
  2020年   1篇
  2019年   1篇
  2018年   2篇
  2017年   2篇
  2016年   1篇
  2014年   7篇
  2013年   6篇
  2012年   2篇
  2011年   6篇
  2010年   5篇
  2009年   4篇
  2008年   3篇
  2007年   8篇
  2006年   5篇
  2005年   8篇
  2004年   10篇
  2003年   6篇
  2002年   4篇
  2001年   5篇
  2000年   3篇
  1999年   3篇
  1998年   2篇
  1997年   2篇
  1996年   2篇
  1995年   1篇
  1993年   1篇
  1992年   1篇
排序方式: 共有105条查询结果,搜索用时 15 毫秒
1.
一种新的TDRSS扩频调制方式的研究   总被引:5,自引:0,他引:5  
本文讨论跟踪及数字中继卫星系统(TDRSS)一种新通信体制,即并行MSK扩频系统,发射端采用长短码正交扩频的平衡调制,接收端采用一种简单解扩方式简化了并行MSK信号的解调,同时用短码全“1”引导长码同步的方法大大减小了长码的捕获时间,实验结果证明了良好的伪码同步性能。  相似文献   
2.
高勇  黄振  陆建华 《计算机仿真》2010,27(4):107-110
在BDPSK信号解调中,非合作信号的载频估计误差或高动态环境引起的多普勒频移都会使得解调性能恶化,甚至无法解调。针对这个问题,提出了一种基于morlet小波变换的BDPSK信号盲解调算法。算法利用BDPSK信号小波系数模的幅度突变信息,完成符号率估计、符号同步和符号识别。算法无需载波恢复,对载频估计误差也不敏感,并且能够适应载频时变的BDPSK信号。仿真结果表明,算法具有较高的符号率估计性能和同步性能,盲解调性能比理论值恶化量约为1.6dB。  相似文献   
3.
本文介绍一种基于软件无线电技术,利用通用计算机和DSP处理器构造的的信号识别与调制解调系统。给出了系统组成、功能、硬件和软件结构以及系统功能实现方法。  相似文献   
4.
柱栅是一种新型数字式直线位移传感器。柱栅传感器具有许多优良的电气和机械特性。本文着重介绍了一种利用双重计数器实现的柱栅传感器微机鉴相方法,它克服了传统的微机鉴相电路的缺点,可成倍地提高柱栅最高运行速度。该方法同样适用于感应同步器系统。  相似文献   
5.
朱久运  吴迺陵   《电子器件》2007,30(6):2065-2068
设计了基于正交解调和窄带滤波技术的信号处理电路,它使用模拟开关作为乘法器,实现解调,并使用一种性能优异的窄带滤波电路对解调结果进行滤波和放大.整个电路具有高增益、高灵敏度、强抗干扰能力、低成本等优点,可有效提高金属探测门的性能.  相似文献   
6.
《Microelectronics Journal》2014,45(6):793-798
An all-digital coherent-like binary frequency shift keying (BFSK) demodulation based on the use of a multi-bit shift register, two multi-bit XOR gates and a mean value filter is presented. The demodulator is fabricated in SMIC 65-nm CMOS process with a die area of 0.015 mm2. The demodulator consumes 1.44 mW with 1.2 V of voltage supply and 32 MHz of sample clock. The measured bit error ratio (BER) performance is better than that of other non-coherent demodulators. The proposed demodulator exhibits better performance in terms of composite indicator compared to other demodulators. Another advantage of the all-digital demodulator defined using Verilog HDL is that it can also be implemented on Field Programmable Gate Array (FPGA) platform rapidly to recover FSK signals with different carrier frequencies and data rates. These results make the all-digital demodulator suitable for the application in communication and consumer electronics.  相似文献   
7.
针对齿轮发生故障时信号的调制特点,采用相关分析对局部均值分解(Local Mean Decomposition,LMD)解调方法进行改进,并提出了基于改进LMD的故障特征增强方法。将该方法应用于实际齿轮断齿故障特征的提取,结果表明:该方法能有效地增强齿轮故障特征,其增强效果优于传统的Hilbert包络解调分析方法。  相似文献   
8.
提出了在智能仪表中对传感器输出调幅信号实现解调的一种新方法。借鉴半波相敏检波的基本原理,采用载波信号作为微机的中断触发信号,采集调幅信号,可直接获得调制信号幅值离散点的数字量,在实现解调的同时完成信号测量。给出了用微机实现信号解调的基本原理、基于单片机AT89C2051设计的硬件接口电路以及软件设计的基本思路。  相似文献   
9.
针对新型的微电阻率成像扫描仪使用的幅度调制信号的特点,提出一种载波相位和调制波幅度的计算方法,重点研究载波相位提取。首先从理论上系统地介绍了基于带通采样和数字正交解调的载波相位和调制波幅度的测量方法,然后通过LabVIEW仿真验证,该方法能实现常温和高温条件下幅度调制信号的载波相位和调制波幅度的高精度测量。  相似文献   
10.
魏展鹏  谷立臣 《机床与液压》2007,35(9):185-186,223
通过对液压动力系统电机的电流值和电压值的实时采集并结合各环节效率参数,设计了上位机软件用于在线监测液压动力系统的输入功率和输出功率,为液压系统的状态监测提供了一种新的方法.该方法的应用将进一步完善液压系统在线状态监测的手段,有力地提高液压系统的智能化监测水平.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号