首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   39篇
  免费   2篇
  国内免费   1篇
综合类   2篇
无线电   22篇
原子能技术   1篇
自动化技术   17篇
  2021年   1篇
  2014年   4篇
  2012年   5篇
  2010年   3篇
  2009年   6篇
  2007年   3篇
  2005年   3篇
  2003年   8篇
  2002年   3篇
  2001年   1篇
  2000年   1篇
  1998年   3篇
  1997年   1篇
排序方式: 共有42条查询结果,搜索用时 0 毫秒
1.
VSIA(Virtual Socket Interface Alliance)成立于1996年9月.是最早出现的国际性IP标准组织.成员包括系统设计公司、半导体供应商、EDA公司、IP提供商等。其目的是为系统芯片工业建立统一的技术规范和标准,这些规范和标准可以作到使不同来源的IP进行集成并相匹配。2004年以前VSIA是以工作组的形式开展工作,陆续成立了模拟/混合信号、功能验证、依靠硬件的软件、实现/验证、IP保护、与制造相关的测试、片上总线、系统级设计、虚拟组件质量、基于平台的设计和虚拟组件转让,等共11个开发工作组,  相似文献   
2.
集成电路是信息产业的基础,21世纪信息产业的飞速发展,使集成电路呈现出快速发展的态势,而以软硬件协同设计、IP核复用和超深亚微米为技术支撑的SoC已成为当今超大规模集成电路的发展方向,是集成电路的主流技术。SoC设计面临诸多挑战,其中IP核的复用最为关键。  相似文献   
3.
4.
为了克服集成电路在辐射环境下所受的影响,在SMIC0.18μm工艺下,设计一款应用于LEON3处理器核中的加固的32X32位三端口寄存器堆.存储单元内部采用改进的双向互锁存储单元(DICE)N,外围组合电路采用C-element结构.电路模拟结果表明,室温条件下,工作电压为1.8V,寄存器堆工作在200MHz时,能够实现两读一写的功能,并能同时消除单粒子翻转(SEu)和单粒子瞬态(sET)效应.与汉明码加固方式相比,该方法具有较高的抗辐射能力和较快的速度.  相似文献   
5.
针对航天应用的处理器敏感性评估需求,采用仿真命令技术开发了一种基于VHDL的故障注入工具.以LEON3处理器为目标模型进行了故障注入实验,得到了流水线寄存器的敏感性,并对敏感性较高的寄存器加固之后再次进行可靠性评估,从而验证了该故障注入工具的有效性.本故障注入工具适用于基于VHDL的RTL级处理器的软错误敏感性分析.  相似文献   
6.
采用JTAG结构实现SoC芯片的片上仿真器及接口   总被引:2,自引:1,他引:2  
片上仿真(OnChipEmulation)是系统级芯片(System-on-a-Chip,SoC)进行调试与诊断的新型方法。文章讨论了一种采用JTAG结构实现SoC芯片片上仿真器的方法。此方法已应用于以CCORE为核心的SoC设计平台上。  相似文献   
7.
针对确定内建自测试向量发生器设计中常存在着对冗余向量依赖,导致测试应用时间增长,并产生额外的测试功耗等问题,提出一种新的低功耗确定测试向量发生器的综合算法.该向量发生器采用非一致细胞自动机的结构实现,利用基于模拟退火的动态邻域扩展算法寻找优化的细胞自动机的拓扑连接关系.对标准组合电路仿真实验的结果表明,所综合出的向量发生器可有效地产生给定的低功耗确定向量集,并且不影响原有的故障覆盖率和测试时间.  相似文献   
8.
提出一种片上网络带宽资源QoS调度算法。通过分布式地动态调整有保障服务(GS)连接在每个路由器中的优先级,解决共享同一物理链路的不同GS连接之间的传输冲突问题,从而保障时延、带宽和时延抖动等QoS。通过给尽力而为服务(BE)通道分配动态优先级和监控GS流量2种方法的应用,有效提高了BE数据流的服务质量及链路利用率。  相似文献   
9.
深亚微米ESD保护器件GGNMOS性能分析与设计   总被引:1,自引:0,他引:1  
本文采用MEDICI作为集成电路ESD保护常用器件—栅极接地NMOS管(GGNMOS)ESD性能分析的仿真工具,综合分析了各种对GGNMOS的ESD性能有影响的因素,如衬底掺杂、栅长、接触孔距离等,为深亚微米下ESD保护器件GGNMOS的设计提供了依据。通过分析发现衬底接触孔到栅极距离对GGNMOS器件ESD性能也有一定影响,此前,对这一因素的讨论较少。最后,根据分析结果,给出了一个符合ESD性能要求的器件设计。  相似文献   
10.
用VHDL-AMS进行概念设计   总被引:4,自引:3,他引:1  
VHDL-AMS是VHDL向模拟和混合信号领域的诉展,VHLD-AMS为设计者提供了在概念级处理复杂系统的能力,随着VHDL-AMS的标准化,将诞生处理复杂的模拟和混合信号模型的有效的模拟器,文中介绍了VHLD-AMS模拟扩展的主要内容,展示了一个混合模式模拟环境,并给出了模拟解算器的构成,讨论了连续和离散模拟的同步问题;用4个例子说明VHDL-AMS在概念设计中的应用。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号