首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   1篇
  免费   0篇
自动化技术   1篇
  2012年   1篇
排序方式: 共有1条查询结果,搜索用时 20 毫秒
1
1.
基于FPGA的高速数据采集系统的设计与实现   总被引:2,自引:0,他引:2  
为了解决高速数据采集过程中的数据量大、实时性、传输速率等问题,提出了一种基于FPGA的高速数据采集系统的实现方案.该方案以FPGA作为主控芯片,实现模拟信号通道的可控、A/D转换控制、DDRⅡ SDRAM数据缓存、PCI总线数据的传输四个主要功能,系统采用Verilog HDL语言,通过Quartus Ⅱ6.0软件编程来实现IP核的控制,从而实现多个ADC08B200芯片进行数据采集,通过DDRⅡ SDRAM进行数据缓存,将数据通过PCI总线传输到PC机.系统经过PC机的测试软件,能够很好地完成高速数据采集系统的任务要求.  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号