首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   38084篇
  免费   2088篇
  国内免费   1813篇
电工技术   2374篇
综合类   2191篇
化学工业   374篇
金属工艺   582篇
机械仪表   1931篇
建筑科学   1179篇
矿业工程   359篇
能源动力   256篇
轻工业   391篇
水利工程   499篇
石油天然气   459篇
武器工业   350篇
无线电   9757篇
一般工业技术   1162篇
冶金工业   139篇
原子能技术   116篇
自动化技术   19866篇
  2024年   34篇
  2023年   267篇
  2022年   345篇
  2021年   422篇
  2020年   413篇
  2019年   465篇
  2018年   241篇
  2017年   380篇
  2016年   448篇
  2015年   648篇
  2014年   1725篇
  2013年   1822篇
  2012年   2915篇
  2011年   3103篇
  2010年   2933篇
  2009年   3406篇
  2008年   4365篇
  2007年   3073篇
  2006年   3362篇
  2005年   2993篇
  2004年   2514篇
  2003年   2165篇
  2002年   1116篇
  2001年   706篇
  2000年   432篇
  1999年   342篇
  1998年   291篇
  1997年   244篇
  1996年   180篇
  1995年   152篇
  1994年   107篇
  1993年   69篇
  1992年   87篇
  1991年   75篇
  1990年   48篇
  1989年   68篇
  1988年   13篇
  1987年   7篇
  1986年   1篇
  1985年   2篇
  1984年   1篇
  1980年   1篇
  1965年   2篇
  1959年   2篇
排序方式: 共有10000条查询结果,搜索用时 15 毫秒
1.
周泽强 《电声技术》2021,45(10):83-86
针对控制室大屏幕控制与多个系统业务操作不便的困难,构建一个坐席管理与大屏幕处理一体化融合的处理系统,研究信号处理与坐席管理一体化融合、键鼠自由无缝漫游控制多设备等关键技术,满足大型复杂控制室、调度指挥中心当前及未来超大规模超高分辨率信号信息的接入、处理、分析及输出显示需求,并有效管理控制室设备,降低用户成本,解决用户信息安全、各席位工作孤立的问题.  相似文献   
2.
钟金盛  张鹏  袁翔 《人民黄河》2022,(S1):160-161
依托金寨抽水蓄能电站,针对抽水蓄能电站边坡支护形式开展研究,通过对比分析喷锚支护、网格梁混凝土支护以及TBS喷播植草护坡的安全性、施工进度、成本、稳定性、效果等,提出了不同类型边坡支护形式的方案选择原则及策略,提出边坡支护可以将不同类型的支护方式组合使用。  相似文献   
3.
文猛  张释如 《包装工程》2022,43(21):162-168
目的 为了解决目前三维数据隐藏算法不能兼顾无失真和盲提取的问题,提出一种新的完全无失真的三维网格模型数据隐藏盲算法。方法 首先使用混沌逻辑映射选择嵌入与提取模式,保证数据的安全性。然后利用面元素重排,完全不会造成三维模型失真的性质,通过不同嵌入模式规则对三角面元素进行重排,以嵌入秘密数据。接收端则可根据相应的提取模式规则提取秘密数据。结果 仿真结果与分析表明,该算法不会对三维模型造成任何失真,嵌入容量为每顶点2比特,且能抵抗仿射变换攻击、噪声攻击和平滑攻击等。结论 这种三维数据隐藏盲算法无失真,容量大、安全性高、鲁棒性强,适用于三维载体不容修改的情形,如军事、医学、秘密通信和版权保护等。  相似文献   
4.
5.
瞬态剂量率辐射试验会引起集成电路发生损伤或失效,其原因至少有两种:闭锁大电流引起的电路内部金属互连熔融;累积电离总剂量引起的氧化层电荷造成阈值电压偏移。本文以一种0.13 μm体硅CMOS处理器为对象,研究了瞬态剂量率和稳态电离总剂量辐射效应规律。结果表明:瞬态剂量率闭锁效应对处理器造成了显著的潜在损伤,导致其总剂量失效阈值从1 030 Gy(Si)降低至600 Gy(Si)。研究结论对于大规模集成电路的可靠性评估和指导辐射加固设计有重要参考意义。  相似文献   
6.
7.
为了满足机器学习中大数据、并行计算及降低处理器与主存之间的差距等要求,设计基于自主研发的SIMT处理器的流水线cache结构。依据局部性原理与LRU替换算法相结合设计专用的伪LRU替换算法,与通用的轮询、LFU、LRU替换算法共同完成cache替换算法的可配置要求,实现处理器与主存之间的快速交互。采用Xilinx公司virtex ultrascale系列的xcvu440-flga2892-2-e FPGA芯片对设计进行综合。结果表明该结构指令cache最大时延为2.923 ns,数据cache最大时延为3.258 ns,满足SIMT处理器性能要求。  相似文献   
8.
9.
SERDES(串行解串)技术因其传输速率高、抗干扰能力强等优点已成为主流的高速接口物理层规范。但由于上层PCS(物理编码子层)需设置弹性缓冲、编解码等功能,导致系统传输延时较高,无法直接应用于处理器直连等延迟敏感应用领域。介绍了一种基于同源相位补偿缓冲(Synchronous Phase Compensation Buffer,SPCB)的PCS架构的设计实现,可应用于延时敏感的SERDES接口传输系统。该架构具有高吞吐率和超低延时的特点,通过定制的SPCB,单通道32 Gb/s时,发送与接收通路传输延时为10 ns左右,约为业界典型PCS方案的一半,达到Intel与AMD并行CPU直连接口(QPI和HT)的延时水平。该PCS架构可通过28 nm/16 nm/7 nm工艺物理实现,已应用于多款国产处理器直连接口。  相似文献   
10.
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号