首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  免费   1篇
电工技术   1篇
  2017年   1篇
排序方式: 共有1条查询结果,搜索用时 15 毫秒
1
1.
针对FPGA系统中涉及三角函数等数学运算,而传统的查找表方法占用资源较多,计算精度不足等问题,提出了基于CORDIC算法的三角函数计算的实现方法.首先给出了CORDIC算法的硬件结构,然后使用Verilog HDL硬件描述语言,在Quartus ⅡⅢ 13.1中对算法进行编译和仿真,并对仿真结果和实际结果进行误差分析,最后选择Altera公司的Cyclone Ⅳ E系列中EP4CE30F23C6器件,完成了CORDIC算法的FPGA实现.实验结果表明,该算法能够利用较少的硬件资源,实现较高的计算精度,并且运算速度较快,可以满足实际应用中的计算需求.  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号