首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   1篇
  免费   0篇
电工技术   1篇
  2008年   1篇
排序方式: 共有1条查询结果,搜索用时 0 毫秒
1
1.
基于VHDL的数字密码锁设计   总被引:2,自引:1,他引:1  
本文主要介绍运用VHDL技术设计数字密码锁的方法。本设计采用自顶向下的数字系统设计方法,将数字密码锁系统分解为若干子系统,并且进一步细划为若干模块,然后用硬件描述语言VHDL来设计这些模块,并且分别进行软件和硬件的仿真。仿真结果表明:该数字密码锁能够校验3位十进制数密码,且可以预置密码,显示输入密码,具有输入错误指示,解码有效指示等相应的状态指示。该密码锁体积小,功耗低,操作简单,不怕掉电,维护和升级都十分方便,具有较好的应用前景。  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号