首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的NAND控制器设计与实现
引用本文:姬进.基于FPGA的NAND控制器设计与实现[J].电子科技,2014,27(7):144-147.
作者姓名:姬进
作者单位:(中航工业西安航空计算技术研究所 第8研究室,陕西 西安 710119)
摘    要:在基于NAND型固态存储系统的开发中,接口芯片直接影响储存系统的性能,为了最大限度地提高读写性能,需对NAND控制器进行自主设计。通过分析NAND Flash的接口特性和NAND控制器的组成结构,采用了状态机对NAND控制器的主逻辑以及常用编程命令进行了描述,同时运用FPGA对该状态机逻辑进行实现,并对NAND主要操作命令进行了仿真试验。试验结果表明,该设计符合NAND Flash的操作时序要求。

关 键 词:FPGA  状态机  NAND控制器  接口  

Design and Implementation of a NAND Controller Based on FPGA
JI Jin.Design and Implementation of a NAND Controller Based on FPGA[J].Electronic Science and Technology,2014,27(7):144-147.
Authors:JI Jin
Affiliation:(Eighth Research Laboratory,AVIC Xi'an Aeronautics Computing Technique Research Institute,Xi'an 710119,China)
Abstract:In the development of NAND type solid storage system, interface chip directly affects the performance of the storage system, and an independent design of NAND controller is needed in order to maximize the performance of reading and writing. The structure of interface characteristics of NAND Flash and NAND controller is analyzed, the main logic of NAND controller and the common programming commands are described using the state machine, and the state machine logic is realized by the use of FPGA. Simulation test on the NAND main operation command shows that the design meets the requirements of NAND Flash operation timing.
Keywords:FPGA  state machine  NAND controller  interface
本文献已被 CNKI 维普 等数据库收录!
点击此处可从《电子科技》浏览原始摘要信息
点击此处可从《电子科技》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号