首页 | 本学科首页   官方微博 | 高级检索  
     


A 4-MB on-chip L2 cache for a 90-nm 1.6-GHz 64-bit microprocessor
Authors:McIntyre  H Wendell  D Lin  KJ Kaushik  P Seshadri  S Wang  A Sundararaman  V Ping Wang Song Kim Hsu  W-J Hee-Choul Park Levinsky  G Jiejun Lu Chirania  M Heald  R Lazar  P Dharmasena  S
Affiliation:Sun Microsyst. Inc., Sunnyvale, CA, USA;
Abstract:A 4-MB L2 data cache was implemented for a 64-bit 1.6-GHz SPARC(r) RISC microprocessor. Static sense amplifiers were used in the SRAM arrays and for global data repeaters, resulting in robust and flexible timing operation. Elimination of the global clock grid over the SRAM array saves power, enabled by combining the clock information with array select signals. Redundancy was implemented flexibly, with shift circuits outside the main data array for area efficiency. The chip integrates 315 million transistors and uses an 8-metal-layer 90-nm CMOS process.
Keywords:
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号