首页 | 本学科首页   官方微博 | 高级检索  
     

基于VHDL状态机的交通灯控制器设计
引用本文:李春雨,高原.基于VHDL状态机的交通灯控制器设计[J].山西电子技术,2010(1):54-56.
作者姓名:李春雨  高原
作者单位:安阳工学院计算机科学与信息工程系,河南安阳455000
摘    要:用VHDL语言设计交通灯控制器,并利用QuartusⅡ软件平台对设计系统进行仿真、编译,并下栽到FPGA/CPLD可编程逻辑器件中。由于生成的是集成化的数字电路,没有传统设计中的接线问题,所以故障率低、可靠性高。由于采用了EDA技术,所以大大缩短了开发研制周期,提高了设计效率,使系统具有设计灵活,实现简单,性能稳定的特点。

关 键 词:交通灯控制器  FPGA  VHDL

Traffic Light Controller Design Based on VHDL State Machine
Li Chun-yu,Gao Yuan.Traffic Light Controller Design Based on VHDL State Machine[J].Shanxi Electronic Technology,2010(1):54-56.
Authors:Li Chun-yu  Gao Yuan
Affiliation:Li Chun-yu,Gao Yuan(Computer Engineering Department,Anyang Institute of Technology,Anyang Henan 455000,China)
Abstract:Design of traffic light controller using VHDL language is made in this article, and it takes the advantage of Quartus Ⅱ design software platform for the simulation system, the compiler and downloads to the FPGA / CPLD programmable logic devices. For the digital circuit generated is integrated, so there is no wiring problem that occurred in traditional design, it has low failure rate and high reliability. As a result of EDA technology, it greatly shortens the development cycle and improves the design efficiency; the system has the characteristics of flexible design, simple and stable performance.
Keywords:traffic light controller  FPGA  VHDL  
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号