首页 | 本学科首页   官方微博 | 高级检索  
     

基于UVM的PCI Express总线控制器验证平台
引用本文:赵赛,闫华,丛红艳,张艳飞.基于UVM的PCI Express总线控制器验证平台[J].电子与封装,2022,22(2):78-82.
作者姓名:赵赛  闫华  丛红艳  张艳飞
作者单位:无锡中微亿芯有限公司,江苏无锡 214072
摘    要:针对高速外设部件互连(Peripheral Component Interconnect Express,PCIe)总线控制器数据格式复杂、链路状态繁多的特点,提出了基于System Verilog语言的通用验证方法学(Universal Verification Methodology,UVM)验证平台。相较于传统定向验证方法,该验证平台中的验证用例使用受约束的随机方式对PCIe模块进行充分验证,能自动进行结果比对,并在回归测试中自动收集覆盖率数据。结果表明,该验证平台可以快速定位设计缺陷,在兼顾较好的可重用性和可配置性的同时,实现覆盖率验证目标,大大提高验证效率。

关 键 词:UVM  System  Verilog语言  PCIE

UVM-Based Verification Platform for PCI Express Bus Controller
ZHAO Sai,YAN Hua,CONG Hongyan,ZHANG Yanfei.UVM-Based Verification Platform for PCI Express Bus Controller[J].Electronics & Packaging,2022,22(2):78-82.
Authors:ZHAO Sai  YAN Hua  CONG Hongyan  ZHANG Yanfei
Affiliation:(East Technologies,Inc.,Wuxi 214072,China)
Abstract:For peripheral component interconnect express(PCIe)bus controller,aiming at the characteristics of complicated data format and various link state,a universal verification methodology(UVM)verification platform is built based on System Verilog.Different from traditional directional verification platform,this verification platform uses constrained random test case to verify PCIe bus controller comprehensively,and analyzes result and collects functional coverage automatically.According to the results of verification,this verification platform can efficiently find out bugs in design,fulfill coverage requirement,and improve verification efficiency with excellent configurability and reusability.
Keywords:UVM  System Verilog  PCIe
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号