首页 | 本学科首页   官方微博 | 高级检索  
     


Dynamic Functional Unit Assignment for Low Power
Authors:Haga  Steve  Reeves  Natasha  Barua  Rajeev  Marculescu  Diana
Affiliation:(1) Department of Electrical & Computer Engineering, University of Maryland, College Park, MD 20742, USA;(2) Lockheed Martin Space Systems Company, 1111 Lockheed Martin Way, Sunnyvale, CA 94088, USA;(3) Department of Electrical & Computer Engineering, Carnegie Mellon University, 5000 Forbes Avenue, Pittsburg, PA 15213, USA
Abstract:A hardware method for functional unit assignment is presented, based on the principle that a functional unit's power consumption is approximated by the switching activity of its inputs. Since computing the Hamming distance of the inputs in hardware is expensive, only a portion of the inputs are examined. Integers often have many identical top bits, due to sign extension, and floating points often have many zeros in the least significant digits, due to the casting of integer values into floating point, and other reasons. The accuracy of these approximations is studied and the results are used to develop a simple, but effective, hardware scheme.
Keywords:low power  bit patterns  functional unit assignment  dynamic power  hamming distance  superscalar
本文献已被 SpringerLink 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号