首页 | 本学科首页   官方微博 | 高级检索  
     


A yield improvement technique in severe process,voltage, and temperature variations and extreme voltage scaling
Affiliation:1. Lviv Polytechnic National University, 12 Bandera str., Lviv 79013, Ukraine;2. Lviv Institute of Materials of SRC “Carat”, 202 Stryjska str., Lviv 79031, Ukraine;3. Lviv State University of Life Safety, 35 Kleparivska str., Lviv 79007, Ukraine;4. Jan Dlugosz University, 13/15 Al. Armii Krajowej, Czestochowa 42201, Poland;5. Drohobych State Pedagogical University, 24 Ivan Franko str., Drohobych 82100, Ukraine;1. Philips Research, High Tech Campus 34-6, 5656AE Eindhoven, The Netherlands;2. Department of Mechanical Engineering, Eindhoven University of Technology, PO Box 513, 5600MB Eindhoven, The Netherlands;1. School of Information and Navigation, Air Force Engineering University, Xian 710077, China;2. Lanzhou Institute of Physics, Lanzhou 730000, China
Abstract:Drastic yield reduction at sub/nearthreshold voltage domains, caused by the severe process, voltage, and temperature (PVT) variations in this region, is challenging characteristic of recent nanometre sensory chips. Using a variation sensitive and ultra-low-power design, this paper proposes a novel technique capable of sensing and responding to PVT variations by providing an appropriate forward body bias (FBB) so that the delay variations and timing yield of whole system as well as energy-delay product (EDP) are improved. Theoretical analysis for the error probability, confirmed by post-layout HSPICE simulations for an 8-bit Kogge–Stone adder and also two large Fast Fourier Transform (FFT) processors, shows considerable improvements in severe PVT variations and extreme voltage scaling. For this adder, for example, the proposed technique can reduce error rate from 50% to 1% at 0.4 V. In another implementation, in average ~7× delay variation and ~4× EDP improvement is gained after this technique is applied to an iterative 1024pt, radix 4, complex FFT while working in sub/nearthreshold voltage region of 0.3 V–0.6 V. Also, pipelined version of the FFT consumed only 412pJ/FFT at 0.4 V while processing 125 K FFT/sec.
Keywords:Timing yield  Subthreshold design  Forward body biasing  Process  Temperature  Voltage variations
本文献已被 ScienceDirect 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号