首页 | 本学科首页   官方微博 | 高级检索  
     

Leakage Current Optimization Techniques During Test Based on Don t Care Bits Assignment
作者姓名:Wei Wang  Yu Hu  Yin-He Han  Xiao-Wei Li  and You-Sheng Zhang
作者单位:[1]School of Computer and Information, Hefei University of Technology, Hefei 230009, China [2]Key Laboratory of Computer System and Architecture, Institute of Computing Technology, Chinese Academy of Sciences Beijing 100080, China
基金项目:This work was supported in part by the National Natural Science Foundation of China (NSFC) under Grant Nos. 60576031, 60633060, 60606008, 90607010, the National Grand Fundamental Research 973 Program of China under Grant Nos. 2005CB321604 and 2005CB321605, and the Science Foundation of Hefei University of Technology under Grant Nos. 070501F and 060501F. Y. Han's work is also supported by the fund of Chinese Academy of Sciences due to the President Scholarship.
摘    要:It is a well-known fact that test power consumption may exceed that during functional operation. Leakage power dissipation caused by leakage current in Complementary Metal-Oxide-Semiconductor (CMOS) circuits during test has become a significant part of the total power dissipation. Hence, it is important to reduce leakage power to prolong battery life in portable systems which employ periodic self-test, to increase test reliability and to reduce test cost. This paper analyzes leakage current and presents a kind of leakage current simulator based on the transistor stacking effect. Using it, we propose techniques based on don't care bits (denoted by Xs) in test vectors to optimize leakage current in integrated circuit (IC) test by genetic algorithm. The techniques identify a set of don't care inputs in given test vectors and reassign specified logic values to the X inputs by the genetic algorithm to get minimum leakage vector (MLV). Experimental results indicate that the techniques can effectually optimize leakage current of combinational circuits and sequential circuits during test while maintaining high fault coverage,

关 键 词:电量泄漏  能量泄漏  优化技术  向量
收稿时间:16 June 2005
修稿时间:2005-06-16

Leakage Current Optimization Techniques During Test Based on Don’t Care Bits Assignment
Wei Wang,Yu Hu,Yin-He Han,Xiao-Wei Li,and You-Sheng Zhang.Leakage Current Optimization Techniques During Test Based on Don t Care Bits Assignment[J].Journal of Computer Science and Technology,2007,22(5):673-680.
Authors:Wei Wang  Yu Hu  Yin-He Han  Xiao-Wei Li  You-Sheng Zhang
Affiliation:1.School of Computer and Information, Hefei University of Technology, Hefei 230009, China; 2.Key Laboratory of Computer System and Architecture, Institute of Computing Technology, Chinese Academy of Sciences Beijing 100080, China
Abstract:It is a well-known fact that test power consumption may exceed that during functional operation. Leakage power dissipation caused by leakage current in Complementary Metal-Oxide-Semiconductor (CMOS) circuits during test has become a significant part of the total power dissipation. Hence, it is important to reduce leakage power to prolong battery life in portable systems which employ periodic self-test, to increase test reliability and to reduce test cost. This paper analyzes leakage current and presents a kind of leakage current simulator based on the transistor stacking effect. Using it, we propose techniques based on don't care bits (denoted by Xs) in test vectors to optimize leakage current in integrated circuit (IC) test by genetic algorithm. The techniques identify a set of don't care inputs in given test vectors and reassign specified logic values to the X inputs by the genetic algorithm to get minimum leakage vector (MLV). Experimental results indicate that the techniques can effectually optimize leakage current of combinational circuits and sequential circuits during test while maintaining high fault coverage. Electronic supplementary material The online version of this article (doi:) contains supplementary material, which is available to authorized users. This work was supported in part by the National Natural Science Foundation of China (NSFC) under Grant Nos. 60576031, 60633060, 60606008, 90607010, the National Grand Fundamental Research 973 Program of China under Grant Nos. 2005CB321604 and 2005CB321605, and the Science Foundation of Hefei University of Technology under Grant Nos. 070501F and 060501F. Y. Han's work is also supported by the fund of Chinese Academy of Sciences due to the President Scholarship.
Keywords:leakage current  don’  t care bits  minimum leakage vector  leakage power
本文献已被 维普 SpringerLink 等数据库收录!
点击此处可从《计算机科学技术学报》浏览原始摘要信息
点击此处可从《计算机科学技术学报》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号