首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的双馈风力发电机变频器实时仿真
引用本文:邹武俊,赵春溢,杨轶,田涛.基于FPGA的双馈风力发电机变频器实时仿真[J].电力电子技术,2019,53(5):64-67.
作者姓名:邹武俊  赵春溢  杨轶  田涛
作者单位:华北电力大学,控制与计算机工程学院,北京 102206;中电投东北新能源发展有限公司,辽宁沈阳,110000
摘    要:双馈风力发电机(DFIG)变频器具有高精度、高频率等特性,普通的仿真平台很难实时模拟风力发电机变频器的动态特性。针对上述问题,首先设计了可行的实时仿真控制系统方案,然后在PCI扩展(PXI)主机上的两块LabVIEW现场可编程门阵列(FPGA)板卡上分别建立DFIG双脉冲宽度调制(PWM)变频器的机侧和网侧变频器模型,并对其进行通信设计以实现两块FPGA板卡间的数据交互。最后将设计的变频器模块在1.5 MW DFIG仿真平台上进行并网测试,实验结果显示所提方案能实时模拟实际的DFIG变频器。

关 键 词:双馈风力发电机  变频器  数据交互
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号