首页 | 本学科首页   官方微博 | 高级检索  
     

从整数中提取个十百位的VHDL算法
引用本文:唐龙.从整数中提取个十百位的VHDL算法[J].软件工程师,2012(11):40-41.
作者姓名:唐龙
作者单位:常州信息职业技术学院电子与电气工程学院,江苏常州213164
摘    要:计算机中十进制和二进制数据的转换,一般是通过软件来实现。但是基于冯·诺依曼结构的计算机,其指令是顺序执行的;而FPGA是并行执行方式,适合真正意义上的并行任务处理。因此基于VHDL编程实现从整数中提取个十百位,其执行速度要比采用软件语言编程快得多。

关 键 词:VHDL  进制转换  算法  效率
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号