首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA实现的PCI-I2S接口转换电路
引用本文:王丹宇,孙万蓉,成龙,魏雪松.基于FPGA实现的PCI-I2S接口转换电路[J].电子科技,2015,28(5):50.
作者姓名:王丹宇  孙万蓉  成龙  魏雪松
作者单位:(西安电子科技大学 电子工程学院,陕西 西安 710071)
摘    要:提出了一种基于FPGA实现的PCI-I2S音频系统方法。通过在FPGA中将PCI软核、FIFO以及设计的接口电路等相结合,在FPGA上实现了PCI、I2C、I2S等多种总线,并且结合音频解码器实现了不同采样频率语音数据的传输以及播放功能。系统充分利用FPGA的片上资源及其可编程特性,减少了硬件电路的复杂度

关 键 词:PCI总线  FPGA  I2S  音频  

Design of a PCI-I2S Interface Conversion Circuit Based on FPGA
WANG Danyu,SUN Wanrong,CHENG Long,WEI Xuesong.Design of a PCI-I2S Interface Conversion Circuit Based on FPGA[J].Electronic Science and Technology,2015,28(5):50.
Authors:WANG Danyu  SUN Wanrong  CHENG Long  WEI Xuesong
Affiliation:(School of Electronic Engineering,Xidian University,Xi'an 710071,China)
Abstract:We present a design of a PCI I2S audio system based on FPGA.By combining the PCI soft core,FIFO,and the designed interface circuit in FPGA,we implement such buses as PCI,I2C,and I2S in this FPGA system.We also implement the function of audio date transmission and playing by using the stereo audio codec Tlv320aic23b with FPGA.The system makes full use of the resources on FPGA and its characteristic of reprogrammability to reduce the complexity of the hardware circuit design.
Keywords:PCI  FPGA  I2S  audio  
本文献已被 万方数据 等数据库收录!
点击此处可从《电子科技》浏览原始摘要信息
点击此处可从《电子科技》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号