首页 | 本学科首页   官方微博 | 高级检索  
     

步进电机控制器的FPGA实现
引用本文:潘涛,程耕国.步进电机控制器的FPGA实现[J].现代电子技术,2009,32(1).
作者姓名:潘涛  程耕国
作者单位:武汉科技大学,湖北,武汉,430081
摘    要:介绍基于FPGA的步进电机控制器的设计,在分析步进电机的工作原理的基础上,给出了层次化设计方案与VHDL程序,并利用Quartus Ⅱ进行了仿真并给出了仿真结果.它以FPGA作为核心器件,极大地减少了外围元件的使用.同时,采用VHDL语言控制可以根据步进电机的不同,改变模块程序的参数就可以实现不同型号步进电机的控制,有利于步进电机的广泛应用.

关 键 词:步进电机  控制器  现场可编程逻辑门阵列  硬件描述语言

FPGA Implementation of Stepping Motor Controller
PAN Tao,CHENG Gengguo.FPGA Implementation of Stepping Motor Controller[J].Modern Electronic Technique,2009,32(1).
Authors:PAN Tao  CHENG Gengguo
Abstract:
Keywords:
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号