首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的数控振荡器设计及其性能分析
引用本文:陈泽强,李蓬勃,曹叶文,毕晓东.基于FPGA的数控振荡器设计及其性能分析[J].山东大学学报(工学版),2000,30(6):584-588.
作者姓名:陈泽强  李蓬勃  曹叶文  毕晓东
作者单位:山东大学电子工程系 济南 250061
基金项目:山东省教委基金资助项目
摘    要:数控振荡器是各种数字频率合成器和数字信号发生器的核心器件.应用现场可编程器件进行数控振荡器的设计是一种新的技术,设计中提出了一种应用硬件描述语言的数控振荡器设计方案,给出了仿真结果,仿真结果表明该设计达到了预期要求.通过对其参数进行分析为进一步设计高性能的数控振荡器指出了方向.

关 键 词:数字式频率合成器  超大规模集成电路  计算机辅助设计  /现场可编程门阵列
修稿时间:2000年6月19日

DESIGN AND PERFORMANCE ANALYSIS OF NUMERICALLY CONTROLLED OSCILLATOR BASED ON FPGA
Abstract:
Keywords:
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号