首页 | 本学科首页   官方微博 | 高级检索  
     

基于VHDL语言的IP核验证
引用本文:冯江,王晓燕,肖玲玲,吴军. 基于VHDL语言的IP核验证[J]. 微计算机信息, 2005, 0(20): 66-68
作者姓名:冯江  王晓燕  肖玲玲  吴军
作者单位:1. 341000 江西 赣州江西理工大学信息工程学院;430074 湖北武汉华中科技大学电子工程系
2. 341000 江西赣州江西理工大学机电工程学院
3. 341000 江西 赣州江西理工大学信息工程学院
基金项目:江西理工大学自然科学类资助项目.
摘    要:探讨了IP核的验证与测试的方法及其和VHDL语言在IC设计中的应用,并给出了其在RISC8框架CPU核中的下载实例.

关 键 词:IP核  片上系统  验证
文章编号:1008-0570(2005)07-2-0066-03
修稿时间:2004-12-23

IP Core Verify Based on VHDL
Feng Jiang,Wang Xiaoyan,Xiao Lingling,Wu Jun. IP Core Verify Based on VHDL[J]. Control & Automation, 2005, 0(20): 66-68
Authors:Feng Jiang  Wang Xiaoyan  Xiao Lingling  Wu Jun
Abstract:
Keywords:
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号