首页 | 本学科首页   官方微博 | 高级检索  
     

一种基于VFD多核系统的硬实时任务节能调度算法
引用本文:吴小东,韩建军,王天江.一种基于VFD多核系统的硬实时任务节能调度算法[J].计算机研究与发展,2012,49(5):1018-1027.
作者姓名:吴小东  韩建军  王天江
作者单位:1. 服务计算技术与系统教育部重点实验室(华中科技大学) 武汉430074;华中科技大学计算机科学与技术学院 武汉430074
2. 服务计算技术与系统教育部重点实验室(华中科技大学) 武汉430074;华中科技大学计算机科学与技术学院 武汉430074;计算机软件新技术国家重点实验室(南京大学) 南京210093
3. 华中科技大学计算机科学与技术学院 武汉430074
基金项目:国家自然科学基金项目,南京大学计算机软件新技术国家重点实验室开放基金项目
摘    要:由于芯片功耗不断增加,节能已成为一个亟待解决的重要问题.基于全局异步局域同步(GALS)及电压频率域(VFD)技术的多核处理器计算平台,提出周期性硬实时任务节能调度算法.首先将给定任务集中的实时任务按最差匹配递减(WFD)策略映射到各个计算核上,使各计算核的利用率相对更加均衡,然后利用静态电压?频率调整策略,将每一个VFD内各计算核的共享运行频率降至此VFD中负载最重的计算核的利用率以回收并利用空闲时间节能.在静态策略的基础上提出空闲时间重分配(SR)策略,在保证实时任务可调度的前提下,通过进行任务迁移来平衡VFD内各计算核上的空闲时间分布,以进一步降低VFD的共享运行频率,从而降低能耗.实验表明提出的节能算法可取得较好的节能效果.

关 键 词:实时系统  实时调度  节能调度  多核系统  动态电压?频率调节  低能耗

Energy-Aware Scheduling of Hard Real-Time Tasks in VFD-Based Multi-Core Systems
Wu Xiaodong , Han Jianjun , Wang Tianjiang.Energy-Aware Scheduling of Hard Real-Time Tasks in VFD-Based Multi-Core Systems[J].Journal of Computer Research and Development,2012,49(5):1018-1027.
Authors:Wu Xiaodong  Han Jianjun  Wang Tianjiang
Affiliation:1(Services Computing Technology and System Laboratory(Huazhong University of Science and Technology),Wuhan 430074) 1(School of Computer Science and Technology,Huazhong University of Science and Technology,Wuhan 430074) 2(State Key Laboratory for Novel Software Technology(Nanjing University),Nanjing 210093)
Abstract:With the continuing increase of energy consumption,energy savings have become one of the most critical issues.In this paper,we propose an energy-efficient approach to schedue periodic hard real-time tasks in the multi-core context,while taking into account the mechanisms of voltage frequency domain(VFD) and globally asynchronous,Locally Synchronous(GALS).Firstly,a simple static voltage/frequency scaling(StaticVS) schedule is introduced.According to the StaticVS,the real time tasks are mapped onto the processing cores by the worst-fit decreasing strategy,in order to balance the utilizations of the cores,and thus the shared operation frequencies of the VFDs can be reduced when the voltage scaling is performed.Within a VFD,the utilization of the heaviest-loaded core is selected as the shared operating frequency of the processing cores in this VFD by StaticVS.Next,based upon the StaticVS schedule,a slack reallocation(SR) policy is proposed to further reclaim the unused slack under the frequency synchronization constraint.The SR policy tries to redistribute the slack uniformly to the cores on the same VFD,such that the synchronous operating frequency of this VFD can be appropriately scaled down.Experimental results demonstrate that the proposed scheduling algorithm is capable of reducing energy consumption effectively.
Keywords:real-time system  real-time scheduling  energy-efficient scheduling  multi-core system  dynamic voltage/frequency scaling  low energy consumption
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号