首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的医学超声成像数字波束合成器设计
引用本文:齐雁,谭冠政,范必双.基于FPGA的医学超声成像数字波束合成器设计[J].计算机测量与控制,2010,18(4).
作者姓名:齐雁  谭冠政  范必双
作者单位:中南大学,信息科学与工程学院,湖南,长沙,410083
基金项目:国家自然科学基金(60874070)
摘    要:在介绍数字波束形成原理的基础上,设计了一种以FPGA为核心芯片的新的数字波束合成器;该芯片可根据每个回波脉冲到达其对应阵元的时刻采用程序动态地调整合成波束的焦点和各回波信号的加权因子,实现回波信号的动态聚焦和动态变迹;对于波束合成过程中各阵元所需的接收延迟时间、聚焦延迟时间以及加权因子的存储采用软件方式为每个阵元单独设置一个专用ROM,以简化电路结构并提高系统的处理速度;仿真结果表明,与采用模拟聚焦和幅度变迹的方法相比,新方法的延时精度提高了80%,同时能更好地抑制波束旁瓣,有利于提高医学超声成像系统的图像质量。

关 键 词:FPGA  数字波束合成器  动态聚焦  医学超声成像  

Design of FPGA-based Digital Beamformer in Medical Ultrasound Imaging
Qi Yan,Tan Guanzheng,Fan Bishuang.Design of FPGA-based Digital Beamformer in Medical Ultrasound Imaging[J].Computer Measurement & Control,2010,18(4).
Authors:Qi Yan  Tan Guanzheng  Fan Bishuang
Affiliation:School of Information Science and Engineering/a>;Central South University/a>;Changsha 410083/a>;China
Abstract:On the basis of describing the digital beamforming,a new digital beamformer is designed.Its core device is a FPGA chip,which utilizes a specific program to dynamically change the focus of the synthetic ultrasonic beam and the weighted factor of each echo pulse according to the time that each echo pulse returns to its corresponding array element.Using this beamformer,dynamic focusing and dynamic apodization can be achieved.In order to simplify the circuit structure and increase the system's processing speed,...
Keywords:FPGA
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号