首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的快速中值滤波算法*
引用本文:王宇新,贺圆圆,郭禾,龙珠.基于FPGA的快速中值滤波算法*[J].计算机应用研究,2009,26(1):224-226.
作者姓名:王宇新  贺圆圆  郭禾  龙珠
作者单位:大连理工大学,计算机科学与技术系,辽宁,大连,116023
基金项目:国家自然科学基金资助项目(60675008)
摘    要:针对传统中值滤波算法排序量多、速度慢的缺点,提出了一种基于FPGA的中值滤波快速算法。充分利用两个相邻滤波窗口中的相关排序信息,随着一列新像素的移入,同时更新已有的排序信息,从而完成中值滤波处理。该算法将每个窗口查找中值的比较次数降到很低,达到了快速抑制噪声及保持图像细节的目的。

关 键 词:现场可编程门阵列  中值滤波  实时图像处理

FPGA-based algorithm of fast median filter
WANG Yu-xin,HE Yuan-yuan,GUO He,LONG Zhu.FPGA-based algorithm of fast median filter[J].Application Research of Computers,2009,26(1):224-226.
Authors:WANG Yu-xin  HE Yuan-yuan  GUO He  LONG Zhu
Abstract:In order to solve the problem that the speed of classical median filter was slow because of a lot of sorting,this paper proposed a new algorithm of median filter based on FPGA. It made full use of the coherence of data adjacent windows and completed the median filter processing by adding the new column of pixels while updating the rest of the arranged pixels. The algorithm can reduce the number of comparisons, and achieve the goal of noise suppression and image details keeping in a fast speed.
Keywords:Verilog
本文献已被 CNKI 维普 万方数据 等数据库收录!
点击此处可从《计算机应用研究》浏览原始摘要信息
点击此处可从《计算机应用研究》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号