首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的超声相控阵波束合成技术
引用本文:杨天雪,徐春广,李爽.基于FPGA的超声相控阵波束合成技术[J].无损检测,2008,30(11):813-815,819.
作者姓名:杨天雪  徐春广  李爽
作者单位:北京理工大学机械与车辆工程学院,北京100081
摘    要:数字波束合成技术是相控阵超声检测相对于其它超声检测所特有的,也是整个信号接收处理系统的核心技术。通过设计基于可编程现场门阵列(FPGA)的各个模块,充分利用FPGA的优点,实现了精确延时和快速加权求和。从而可以对回波信号在不同方向和深度进行动态聚焦,很好地实现了波束的数字合成。

关 键 词:超声相控阵技术  数字波束合成  可编程现场门阵列

Digital Beam Forming for Ultrasonic Phased Array Based on FPGA
YANG Tian-Xue,XU Chun-Guang,LI Shuang.Digital Beam Forming for Ultrasonic Phased Array Based on FPGA[J].Nondestructive Testing,2008,30(11):813-815,819.
Authors:YANG Tian-Xue  XU Chun-Guang  LI Shuang
Affiliation:(School ot: Mechanical and Vehicular Engineering, Beijing Institute of Technology, Beijing 100081, China)
Abstract:Digital beam forming (DBF) is special for phased array ultrasonic transducer compared to other ultrasonic nondestructive testing and is also the kernel technology of the whole signal-receiving system. By the merits of field programmable gate array( FPGA), exact time-delay and fast adding of signals multiplied by weighting factors were achieved through modules based FPGA. Dynamic focusing of received signals in different directions and depths was also achieved. Good DBF was realized.
Keywords:Ultrasonic phased array  Digital beam forming  Field programmable gate array
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号