首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
黄佳 《信息通信》2012,(4):22-23
随着计算机网络的不断发展,全球信息化已经成为社会发展的必然趋势.在网络的应用和服务中,信息安全是至关重要的环节.而安全协议是保障信息安全最基本内容之一,已广泛应用在计算机通信网和分布系统中.这样,高效准确的安全协议的研究是必不可少的.本文主要采用基于行为时序逻辑TLA的HLPSL语言形式化分析与检测Geopriv协议.  相似文献   

2.
论文介绍了在集成电路时序验证阶段如何借助时序检测系统对集成电路端口信号的建立时间和保持时间进行检测。  相似文献   

3.
基于并发事务逻辑的Web服务编制验证   总被引:2,自引:1,他引:1  
王勇  代桂平  侯亚荣  方娟  任兴田 《电子学报》2009,37(10):2228-2233
 服务编制解决的是组织之间的业务集成问题,面临的是一个广泛分布、动态、自治、异构的网络环境,保障组合服务的正确执行以及相关特性的验证问题显得尤为重要.形式化方法是一种有效的解决方法,服务编制需要建立在严格的形式化模型的基础上,可以通过具有明确的、形式化语义的形式化模型研制验证工具来完成组合服务正确性的验证.本文基于并发事务逻辑(CTR:Concurrent TRansaction Logic)对服务编制的元素进行了描述和建模,给出了从WS-BPEL到并发事务逻辑的转换规则,讨论了服务编制在CTR中的验证问题以及WS-BPEL和CTR的表达能力,最后给出了一个实际的服务编制在CTR中建模的例子,验证了服务编制的CTR模型的有效性.  相似文献   

4.
5.
面向SoC系统芯片中跨时钟域设计的模型检验方法   总被引:1,自引:1,他引:0       下载免费PDF全文
冯毅  易江芳  刘丹  佟冬  程旭 《电子学报》2008,36(5):886-892
 传统方法无法在RTL验证阶段全面验证SoC系统芯片中的跨时钟域设计.为解决此问题,本文首先提出描述亚稳态现象的等价电路实现,用以在RTL验证中准确体现亚稳态现象的实际影响;然后使用线性时序逻辑对跨时钟域设计进行设计规范的描述;为缓解模型检验的空间爆炸问题,进一步针对跨时钟域设计的特点提出基于输入信号的迁移关系分组策略和基于数学归纳的优化策略.实验结果表明本文提出的方法不仅可以在RTL验证阶段有效地发现跨时钟域设计的功能错误,而且可以使验证时间随实验用例中寄存器数量的递增趋势从近似指数级增长减小到近似多项式级增长.  相似文献   

6.
片上系统的模型检验   总被引:1,自引:1,他引:0  
郭建 《现代电子技术》2005,28(14):95-97
片上系统(SoC)的验证是一个比较复杂的问题,仅靠模拟仿真无法保证SoC设计的正确。形式化方法是利用数学推理的方法来证明其正确.是对SoC设计进行验证的一条重要途径。模型检验技术是一种完全自动化的形式化方法,针对模型检验技术,讨论了在SoC验证中的应用,指出在SoC设计中,只有把模拟仿真与形式化、半形式化的方法结合起来,才能更好的对SoC进行验证。  相似文献   

7.
根据NAND Flash硬件设备的工作原理和过程,利用线性时态逻辑建模语言描述该硬件设备读写状态的性质,分析了NAND Flash软件仿真模块的设计与实现,并通过移植u-boot及ARM Linux内核到仿真器中,验证上述性质是否满足,以保证软件仿真模块设计的正确性.  相似文献   

8.
验证是Soc设计最重要的阶段.在将设计交付给生产厂家之前,要想能够找出体系结构上、功能上或者物理实现上的错误只是通过验证.对于众多团队设计而言,验证占到了设计流程的50%至80%.本文主要介绍了功能仿真、静态时序仿真、形式仿真等相关验证技术.  相似文献   

9.
时序逻辑综合是RTL综合系统设计中的一个重要部分。文章系统地论述了时序逻辑综合问题,在分析用户的RTL描述形式的基础上,以具体算法的形式,提出基本时序逻辑电路描述综合的实现方法,同时对其它一些综合系统中未引用的复杂时序逻辑电路也提出了具体的综合实现方法。  相似文献   

10.
为对某系统工作状态中的重要性能参数进行全程监测和定量分析,并满足在高冲击等特殊环境下使用的要求,提出了一种基于FPGA以及Flash介质的测试存储系统设计方案。详细阐述了硬件系统各组成模块的电路及其工作原理,给出了系统内部数字电路的时序逻辑,介绍了采集中的编码方法和提高存储速度的方法。在实际工作过程中,测试系统达到了准确、可靠的记录技术要求,记录下的数据为器件的工作状态分析提供了详实的依据。  相似文献   

11.
针对可逆逻辑综合在设计较大规模可逆逻辑电路中遇到的瓶颈,文中借助于硬件描述语言的高层次抽象描述能力以及现有EDA平台的仿真验证功能,通过在模块中添加辅助位的方法,使得模块在具有相应功能的同时具备可逆性,并对模块进行实例化,实现对可逆算术逻辑单元的描述与综合。仿真验证表明,该方法具有一定的可行性和有效性。  相似文献   

12.
导弹仿真模型验证系统的研究与实现   总被引:3,自引:0,他引:3  
为评估数字仿真系统的仿真模型与实际物理模型之间的接近程度,确保仿真结果的可信性,在对现有模型验证方法的优缺点及适用性分析的基础上,研究开发一套仿真模型验证系统。该系统采用自顶向下的模块化结构设计,实现了仿真模型验证方法在系统中的高度集成,为仿真系统的整体性能评估奠定了坚实的基础。  相似文献   

13.
计算机并发性程序形式化验证一直是软件安全领域的难题.软件并发性漏洞难以被发现,一旦发生问题,会造成不可估量的安全问题.形式化验证基于严格的数学推导基础,采用语言、语义、推理证明三位一体方法,构建形式逻辑系统,以确保被验证系统的安全性能.传统的形式化验证方法由于人工参与多、验证工作量大、验证效率低等不足,难以对计算机并发...  相似文献   

14.
提出了一种针对标准单元库中单元逻辑功能进行自动仿真验证的方法,验证了55 nm标准单元库中单元逻辑功能的正确性。该方法能自动提取设计文档中的单元逻辑,根据提取结果中输入端的数量自动生成测试向量,并以此测试向量生成参考逻辑值,整个过程只需0.708 μs。采用仿真工具对标准单元库文件进行仿真,将得到的仿真值自动与参考值对比,验证了库单元逻辑的正确性,提高了标准单元库功能验证的效率。  相似文献   

15.
给出了一个可用于密码协议形式化验证与设计的简单逻辑.该逻辑采用抽象的通道概念表示具有多种安全特性的通信链路,可在比现有认证逻辑的更抽象的层次上对协议进行处理.  相似文献   

16.
针对仿真系统可信性评估中所涉及的不确定性和模糊性因素,提出了基于二元语义的评估方法。将专家针对各指标和因素给出的语言信息用二元语义的方式来表示,结合最优化模型的群决策方法,对因素层的评价信息进行集结,依此作为各指标的群体评价值,利用LOWA算子对指标层的权重信息进行集结,采用加权平均算子对指标层进行集结,得到二元语义形式的仿真系统可信性综合评价值。最后通过实例分析证明该方法的实用性和可操作性。  相似文献   

17.
非理想逻辑输入对IBIS仿真的影响及改进方法   总被引:1,自引:1,他引:0  
在高速数字电路端口建模标准IBIS的基础上,提出一种改进仿真电路结构和算法的方法,用于处理经由传输线传输的非理想信号影响IBIS驱动器仿真精度问题.简述了IBIS瞬态提取采的四波形算法,在IBIS驱动器模型中引入了表征输入影响的调制参数K,分析和比较了改进的模型与晶体管模型、B参数模型的仿真结果,以说明改进后精度的提高.  相似文献   

18.
李荣华  张建禹  郑宇锋  邓源 《红外与激光工程》2022,51(10):20211115-1-20211115-12
针对非合作目标线阵雷达成像点云数据获取过程中在轨验证试验成本高、空间环境复杂和控制难等问题,以非合作目标三维重建数值模拟为基础,研制模拟空间服务航天器绕飞采集过程半物理仿真地面验证系统。采用KUKA六轴机械臂搭载缩比卫星模型,还原非合作目标的运动状态,并利用三轴精密转台搭载线阵扫描雷达实现非合作目标可测部位数据采集,通过综合控制系统完成目标扫描及数据处理。利用该半物理地面验证系统,开展缩比比例为1∶10的非合作目标多视角数据采集试验;通过建立分辨率精度评估、吻合度评估和实际运动与控制运动的误差分析准则,进行评估试验。试验结果表明:该系统可以有效获取多视角状态下非合作目标的点云数据,根据点云数据测量计算的目标章动运动角度与目标真实运动角度误差在4%以内,可为未来空间在轨操控与三维重建技术提供真实的技术数据参考。  相似文献   

19.
一种面向系统芯片的FPGA协同验证方法   总被引:2,自引:0,他引:2  
杨焱  侯朝焕 《微电子学》2004,34(4):469-472
利用多片FPGA对SOC系统进行功能验证时,原始的系统分割策略常常导致欠优化的结果,有时甚至会付出重新设计的高昂代价。文章在静态时序分析的基础上,提出了一种利用关键路径时延信息提高FPGA分割效率的方法。分割结果表明,该方法能显著改善功能验证效率,明显提高逻辑控制块和I/O的利用率。文中同时讨论了该协同验证策略在处理信号完整性与RTL设计脱节时所具有的优势。  相似文献   

20.
在SoC设计的多种验证方法之中,基于FPGA的原型验证是一种较为贴近实际芯片的验证方法,可以大幅降低流片的风险,提高验证的效率和全面性.以一款基于OR1200的TD-LTE基带芯片为例,从原型验证的硬件平台设计、环境搭建以及验证的实现等方面阐述了基于FPGA原型验证的方法,并结合实际经验对原型验证中的一些问题提出了解决思路.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号