首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
刘蕊 《信息通信》2012,(3):286-288
针对 USB 控制器 IP 的功能及结构特点,并且尽可能更快地完成验证以适应上市的需求,提出了一种基于 VMM验证方法学的高效验证方案.建立了一个层次化的、可重用的验证平台.利用 VMM 测试激励约束性随机产生的特点,提出了分层解析、分层约束的激励产生方法;利用 Synopsys 公司开发的验证 IP(VIP):AHB 总线功能模型和 USB 主机模型,快速构建仿真环境,模拟实际数据流的通信过程;充分发挥VIP的内部“后门”的作用,增强验证平台测试流的可控性.验证结果表明该验证平台能全面验证 USB 控制器 IP,且性能稳定、兼容性强;通过模拟实际的工作流程,达到了优化设计、缩短验证周期的目的.此方案的一些设计思想,对系统级平台及其他模块级验证平台设计具有参考意义  相似文献   

2.
随着集成电路的发展和芯片设计复杂度的快速提升,芯片验证的难度不断加大。有统计数据表明,近70%-80%的设计时间都花费在芯片验证中。VMM是synopsys公司推出的一套基于System Verilog 的方法学,而今已经成为芯片验证的主流方法学。以VMM方法学为基础,FFT/IFFT模块验证为实例,介绍了利用VMM方...  相似文献   

3.
随着集成电路规模和设计复杂度的快速增长,芯片验证的难度也不断加大,芯片验证的工作量达到了整个芯片研发的70%,已然成为缩短芯片上市时间的瓶颈.VMM是synopsys公司推出的基于systemverilog的一套验证方法学,已经成为SOC验证的主流方法学.SOC系统采用ARM9处理器和DSP处理器,基于AMBA总线架构...  相似文献   

4.
本文以AMBA AHB总线上的从设备NAND Flash控制器为例,简要介绍了VMM验证方法学,并利用VMM验证方法学搭建了NAND Flash控制器验证平台,在此基础上对控制器进行了全面的前端功能验证.  相似文献   

5.
本文首先介绍了VMM层次化验证方法学的基本思想和方法,将其与传统的芯片验证技术进行了对比,并进一步对基于VMM(Verification Methodology Manual For System Verilog)方法学的验证平台结构和各个组成模块进行了详细的介绍。最后以外部存储接口(EMI)模块为例对VMM验证平台的搭建进行了具体说明,并给出了验证结果。  相似文献   

6.
段承超  徐金甫 《现代电子技术》2011,34(8):127-129,132
传统的验证平台编写复杂,且难以在不同设计之间重用。采用SystemVerilog支持的VMM验证方法学,并结合带约束的随机验证和覆盖率驱动的验证技术,构建可重用验证平台,完成对UART模块的验证。与直接测试方法相比,该验证平台不仅能够有效提高验证效率,而且在模块级和系统级验证过程中,能够重用该验证平台或验证组件。  相似文献   

7.
《现代电子技术》2015,(7):144-147
基于VMM方法学设计和实现了一个随机验证环境,验证一个64位ALU。该验证环境具备一套功能完备的随机测试程序发生器,可以生成覆盖率指导的有约束的定点、浮点指令序列,调用一个由C语言实现的参考模型进行运算结果自检,并采用覆盖率收敛技术实现覆盖率快速收敛。实践结果表明,设计的随机验证环境,能够高效验证ALU的各项逻辑功能,减少测试时间,且随机测试程序生成模块可以简单移植应用于处理器其他模块的功能验证。  相似文献   

8.
本文基于VMM验证平台,介绍了高速串行收发器芯片的验证方法。文章首先简要介绍了Serdes芯片和VMM验证方法,然后搭建了Serdes芯片的VMM统一验证平台,并从测试激励产生、寄存器读写控制、覆盖率自动统计、断言验证及覆盖率收敛等几个方面详细阐述了Serdes芯片的验证过程。最后给出了验证结果和测试报告。  相似文献   

9.
本文结合处理器芯片实际项目,重点介绍了功能验证环节的工作。文章基于VMM验证平台,利用System Verilog语言自动生成测试激励,采用断言和功能覆盖率相结合的验证方法,实时监测RTL模型运行时的各种信号,自动进行覆盖率统计,通过增加约束实现覆盖率的快速收敛。文章最终给出了基于VMM验证平台进行功能验证的结果,绘制了功能覆盖率上升曲线。  相似文献   

10.
随着安全算法的发展,其复杂性和算法操作数据位数也随之迅速增加。安全算法的硬件实现和加速器化已成为必然趋势。本文针对北京华虹集成电路设计有限公司的安全算法加速器IP核的验证项目,介绍了Synopsys公司VMM验证平台和AMBAVIP在其中的应用。主要阐述了选择VMM验证平台与AMBAVIP的依据;VMM环境中定向测试发生器(Generator)模块的编写、测试案例编写、安全算法的设计、仿真信息筛选方面的应用技巧。通过本验证平台,查出了加速器很多处设计错误。仿真平台验证结束后,在FPGA上对本加速器进行了大量椭圆曲线的测试。所有测试全部通过,证明了本验证平台的有效性。  相似文献   

11.
基于VMM的寄存器抽象层验证   总被引:2,自引:0,他引:2  
方颖立 《电子设计技术》2007,14(8):110-111,113,114
本文介绍了Synopsys公司推出的基于VMM的寄存器抽象层验证技术和方案,简称RAL(Register Abstraction Layer).该技术是Synopsys针对芯片验证中如何简便、高效地完成寄存器/存储器相关的验证任务这一问题而开发的解决方案.该方案构建在Synopsys的VMM验证方法基础上,使用了VMM中的通用技术,可以在VMM的验证环境中非常方便地集成和重用.  相似文献   

12.
《电子元器件应用》2008,10(7):87-87
Cadence设计系统公司(NASDAQ:CDNS)日前宣布推出首批两款对应开放式验证方法学(OVM)的高级测试平台验证IP(VIP)产品。这些改进能够让OVM用户团体轻松获得Cadence指标导向型验证解决方案,从而实现高质量验证闭合。AMBA 3AXITM和AMBA AHB^TM VIP已经在数百种设计中得以证明,  相似文献   

13.
随着SystemVerilog成为IEEE的P1800规范,越来越多的项目开始采用基于SystemVerilog的验证方法学来获得更多的重用扩展性、更全面的功能覆盖率,以及更合理的层次化验证结构。本文主要提出了一种基于SystemVerilog的VMM验证方法学的验证环境。在这个验证环境中,验证了一个8位的MCU,这个MCU主要应用在数据卡项目中,主要特点是时钟周期与指令周期相等,并且相对于标准MUC指令需要时钟周期较少。通常验证MCU都会应用以前的16进制代码读入ROM中,通过仿真观察波形以及输出来确认功能正确,每次只能根据实际应用程序测试对应的一部分MCU功能,缺少一个量化的指标,而且每次改动MCU,需要重新检查结果,效率比较低,而且验证质量无法保证。这里实现了用SystemVerilog来搭建一个基于VMM验证方法学的可移植、重用、扩展、完全自动检查、具有层次化结构的MCU验证平台。这里运用了VMM方法学,设计了一个层次化的验证结构,可以较简单地移植并验证其他类型的MCU,抽象了MCU指令,并且通过约束产生随机指令激励,可以实现遍历所有指令以及地址,另外功能覆盖率模型帮助能够收集并监测覆盖率。  相似文献   

14.
电子设计自动化(EDA)软件工具商Synopsys今天宣布.由ARM和Synopsys公司推出的SystemVerilog验证方法学(VMM)已被中国主要电子公司用于开发先进验证环境。Synop—sys还宣布,《SystemVerilog验证方法学》一书中文版已由中国航空航天大学出版发行。至今,本书的英文版已售出3500多本。  相似文献   

15.
方颖立 《电子设计技术》2008,15(1):84-84,86,88
本文介绍了Synopsys公司最新推出的验证计划报表工具VMM Planner。该工具能够帮助用户验证过程的计划阶段,用该工具特有的描述语言HVP语言或者通用的MS Office Excel工具,系统地、层次化地描述验证计划,并且在验证计划的实施过程中,将测试得到的各类数据,反标到验证计划中,产生相应的状态报告,追踪验证进程。  相似文献   

16.
基于VMM实现的网络接口验证   总被引:1,自引:0,他引:1  
梁璞  王新安 《现代电子技术》2010,33(15):125-127
网络接口是网络芯片的重要组成模块。基于VMM实现了一个网络接口的验证环境,并就其参考模型(RM)的设计与验证环境的重用性进行了较为详细的阐述。该验证环境实现了所验证网络接口模块的零缺陷交付,达到了理想的覆盖率结果。就芯片已经成功流片且样片测试通过。  相似文献   

17.
本文提出和实现了一种基于通用验证方法学(Universal Verification Methodology,UVM)的单线协议(SingleWire Protocol,SWP)接口模块验证方法。通过此验证方法实现的验证环境可以对SWP接口模块进行充分验证。具体来讲,通过引入随机激励提高了验证效率,通过添加计分板组件实现了通信数据的自动比对。此外,本方法中层次化的验证组件还可重用到类似的接口模块验证中。  相似文献   

18.
通用串行总线USB是当前主流的计算机外设接口的总线标准。设计实现USB各功能模块的IP核对于SoC领域发展具有重要的现实意义。本文介绍了主机控制器端的串行接口引擎IP的设计、电路的功能仿真、综合以及验证等过程,提出并讨论了基于中科SoC开发平台的软/硬件协同设计验证的IP设计方法。结果表明该IP在功能和时序上符合USB技术规范1.1版本。达到了预定目标。  相似文献   

19.
本文首先分析传统的验证方法的诟病,然后介绍RVM验证方法学的优势;重点讲解RVM验证平台的如何搭建,以及如何利用可重用性策略以及功能覆盖率技术提高验证的效率和可靠性.  相似文献   

20.
赵赛  闫华  丛红艳 《电子与封装》2019,19(12):36-40
采用统一验证方法学(universal verification methodology,UVM)搭建验证平台,对数字交换芯片的功能进行验证[1]。由于数字交换芯片的数据处理量较大,验证平台产生受约束的随机激励来验证数字交换芯片的功能,并通过代码覆盖率和功能覆盖率来完善验证用例。仿真结果表明,通过该验证平台验证数字交换芯片的功能正确,功能覆盖率达到100%,并通过机台测试。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号